`Semiconductor
`Manufacturing
`Technology
`
`
`
`v"
`
`1
`
`‘:7.
`
`_. In
`
`
`
`1 (:3CRC ""955
`
`ElmExhibit2169
`
`Taylor -5» Francis Group
`Samsung, Micron, SK hynix v. Elm
`
`IPR20l6-00691
`
`Elm Exhibit 2169
`Samsung, Micron, SK hynix v. Elm
`IPR2016-00691
`
`
`
`DK4126—Prelims —31/5/2007—20:41—SRIDHAR—240429—XML MODEL CRC12a – pp. 1–15
`
`Elm Exhibit 2169, Page 2
`
`
`
`Contents
`
`1 Introduction to Semiconductor Devices
`
`John R. Hauser . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1
`
`2 Overview of Interconnect—Copper and Low-K Integration Girish A. Dixit and
`Robert H. Havemann . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-1
`
`3 Silicon Materials Wen Lin and Howard Huff . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1
`
`4 SOI Materials and Devices
`
`Sorin Cristoloveanu and George K. Celler . . . . . . . . . . . . . . . . . . . . . . . . . 4-1
`
`5 Surface Preparation Glenn W. Gale, Brian K. Kirkpatrick, and Frederick W. Kern, Jr. . . . . . . . . . . 5-1
`
`6 Supercritical Carbon Dioxide in Semiconductor Cleaning Mohammed J. Meziani,
`Pankaj Pathak, and Ya-Ping Sun . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1
`
`7 Ion Implantation Michael Ameen, Ivan Berry, Walter Class, Hans-Joachim Gossmann,
`and Leonard Rubin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1
`
`8 Dopant Diffusion Sanjay Banerjee . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-1
`
`9 Oxidation and Gate Dielectrics C. Rinn Cleavelin, Luigi Colombo,
`Hiro Niimi, Sylvia Pas, and Eric M. Vogel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-1
`
`10 Silicides Christian Lavoie, Francois M. d’Heurle and Shi-Li Zhang . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-1
`
`11 Rapid Thermal Processing
`
`P.J. Timans . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-1
`
`12 Low-K Dielectrics Ting Y. Tsui and Andrew J. McKerrow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-1
`
`13 Chemical Vapor Deposition Li-Qun Xia and Mei Chang . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-1
`
`14 Atomic Layer Deposition Thomas E. Seidel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-1
`
`15 Physical Vapor Deposition Stephen M. Rossnagel
`
`. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-1
`
`16 Damascene Copper Electroplating
`
`Jonathan Reid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-1
`
`DK4126—Prelims —31/5/2007—20:41—SRIDHAR—240429—XML MODEL CRC12a – pp. 1–15
`
`Elm Exhibit 2169, Page 3
`
`
`
`17 Chemical–Mechanical Polishing Gregory B. Shinn, Vincent Korthuis,
`Gautum Grover, Simon Fang, and Duane S. Boning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-1
`
`18 Optical Lithography Gene E. Fuller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18-1
`
`19 Photoresist Materials and Processing Ce´sar M. Garza, Will Conley, and Jeff Byers . . . . . . . . 19-1
`
`20 Photomask Fabrication Syed A. Rizvi and Sylvia Pas . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20-1
`
`21 Plasma Etch Peter L.G. Ventzek, Shahid Rauf, and Terry Sparks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21-1
`
`22 Equipment Reliability Vallabh H. Dhudshia . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22-1
`
`23 Overview of Process Control
`
`Stephanie Watts Butler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23-1
`
`24 In-Line Metrology Alain C. Diebold . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24-1
`
`25 In-Situ Metrology Gabriel G. Barna and Brad VanEck . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25-1
`
`26 Yield Modeling Ron Ross and Nick Atchison . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26-1
`
`27 Yield Management
`
`Louis Breaux and Sean Collins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27-1
`
`28 Electrical, Physical, and Chemical Characterization Dieter K. Schroder,
`Bruno W. Schueler, Thomas Shaffner, and Greg S. Strossman . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28-1
`
`29 Failure Analysis
`
`Lawrence C. Wagner . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29-1
`
`30 Reliability Physics and Engineering
`
`J.W. McPherson and E.T. Ogawa . . . . . . . . . . . . . . . . . . . . . 30-1
`
`31 Effects of Terrestrial Radiation on Integrated Circuits Robert Baumann . . . . . . . . . . . . . . . . 31-1
`
`32 Integrated-Circuit Packaging Michael Lamson, Andreas Cangellaris,
`and Erdogan Madenci . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32-1
`
`33 300 mm Wafer Fab Logistics and Automated Material
`Leonard Foster and Devadas Pillai . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33-1
`Handling Systems
`
`34 Factory Modeling
`
`Samuel C. Wood . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34-1
`
`35 Economics of Semiconductor Manufacturing G. Dan Hutcheson . . . . . . . . . . . . . . . . . . . . . . . 35-1
`
`DK4126—Prelims —31/5/2007—20:41—SRIDHAR—240429—XML MODEL CRC12a – pp. 1–15
`
`Elm Exhibit 2169, Page 4
`
`
`
`Appendix A: Physical Constants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-1
`
`Appendix B: Units Conversion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1
`
`Appendix C: Standards Commonly Used in Semiconductor Manufacturing . . . . . . . . . . . . . . . . . . . C-1
`
`Appendix D: Acronyms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-1
`
`Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . I-1
`
`DK4126—Prelims —31/5/2007—20:41—SRIDHAR—240429—XML MODEL CRC12a – pp. 1–15
`
`Elm Exhibit 2169, Page 5
`
`
`
`13
`
`Chemical Vapor
`Deposition*
`
`13.1
`13.2
`
`Introduction: What Is CVD and Why CVD?................. 13-1
`Basic Aspects of CVD....................................................... 13-2
`CVD Chemistry † Reaction Mechanisms: Thermally Acti-
`vated Reaction, Plasma-Enhanced Reaction † ALD Reaction
`Mechanisms † Deposition Kinetics † ALD Process Char-
`acterization † Film Structures and Properties
`CVD System Design ....................................................... 13-16
`Summary of Widely Used CVD Reactor/Systems † ALD
`Reactor Design: Next for CVD Equipment
`CVD Thin Films ............................................................. 13-22
`Dielectrics † Conducting CVD Films
`References ..................................................................................... 13-82
`
`13.3
`
`13.4
`
`Li-Qun Xia
`Mei Chang
`
`Applied Materials, Inc.
`
`13.1 Introduction: What Is CVD and Why CVD?
`
`Chemical vapor deposition (CVD) is a method of forming thin solid film on a substrate by the reaction of
`vapor phase chemicals which contain the required constituents. The reactant gases are activated by
`various energy forms such as chemical, thermal, plasma or photon, and reacted on and/or above the
`temperature-controlled surface to form the thin film. The reactive species, energy, rate of chemical
`supply, substrate temperature and substrate itself largely determine the film properties.
`A wide variety of thin films are prepared by CVD for use in semiconductor device fabrication. The
`selection of materials such as polysilicon, silica glass, doped silica glass borophosphosilicate glass (BPSG),
`phosphosilicate glass (PSG), silicon nitride, tungsten, tungsten silicide, titanium nitride, and other
`emerging dielectrics, conductors, semiconductors is typically based on meeting integration requirements
`and cost target. The silica based materials chosen in the early semiconductor development is simply
`due to its compatibility with silicon in nature. The demands for shrinking geometry size and more
`functionality on devices put down more requirements: diffusion barrier (for sodium), step coverage
`by reflow or as-deposited conformality, film stress and interface control (adhesion, wetting). The never
`ever ended drives from consumers for lower price force manufacturers to balance between the cost of
`fabrication and performance enhancement. For some materials such as silicon, silica glass, and silicon
`nitride, and other dielectrics, CVD is the simplest and the most cost effective way. But for conductor
`materials, physical vapor deposition (PVD) is a more traditional way to deposit; only the cases where
`PVD cannot or very difficult to achieve, CVD prevail. One outstanding requirement is step coverage.
`
`*Li-Qun Xia (Dielectric); Mei Chang (Conductive CVD); Peter Lee (Low K dielectrics); Ian Latchford (Dielectric ARC);
`Pravin Narwanka (Ta2O5); Annabel Nickles (BST); Raman Achutaraman (Polysilicon); Hua Chung (ALD).
`
`13-1
`
`DK4126—Chapter13—23/5/2007—17:52—ANBARASAN—240442—XML MODEL CRC12a – pp. 1–87
`
`Elm Exhibit 2169, Page 6
`
`
`
`13-2
`
`Handbook of Semiconductor Manufacturing Technology
`
`Tungsten, for example, required close to 100% step coverage to fill high aspect ratio via holes, is
`dominant by CVD. Some conductors such as titanium nitride and tungsten silicide are mixed depending
`on the applications and manufacturer’s preference. Some applications such as aluminum fill; CVD Al and
`PVD Al have to work together in a cluster tool to accomplish the task.
`Chemical vapor deposition reactors provide a controlled environment for the reactants activation,
`proper distribution, and delivery; in addition, the environment on and around the substrates. Successful
`CVD systems can provide not only the desired film properties but high throughput, reliable performance
`and low-operating cost. To enhance the overall system performance, many reactors design further
`incorporated in situ cleaning capability to maximize equipment uptime and minimize particulate
`generation; foreline exhaust and by-product management to reduce maintenance time; and/or
`integration capability with other sequential processes to reduce factory cycle time.
`
`13.2 Basic Aspects of CVD
`
`13.2.1 CVD Chemistry
`
`The CVD films typically used in semiconductors include most common silicon-based materials: silica
`glass (SiO2), doped silica glass (PSG, BPSG), fluorinated silicate glass, silicon nitride, silicon oxynitride,
`polysilicon, and doped polysilicon. Common metal CVD films include: tungsten and tungsten silicide,
`and titanium nitride are being well adapted in the fab. Carbon-doped porous silicate glass as low
`dielectric constant material has accepted in mass production. Chemical vapor deposition Al integrated
`W/PVD Al reflow for dynamic random access memory (DRAM) application also at the start of imple-
`menting into production. Additionally, high dielectric constant materials (hafnium silicate), and very
`low dielectric constant materials (carbon doped silicate glass) and copper barrier/seed (tantalum nitride,
`copper, ruthenium) are in development.
`The number of potential chemistries leading to the commonly used films is huge, Table 13.1 lists only
`those chemistries that are or were widely used.
`The chemistry played major roles in the resulting film properties and thus dictating its applications.
`As an example in the long history of silicon dioxide deposition, SiH2Cl2/N2O high temperature oxide
`(HTO) deposited around 7008C could be used only around silicon substrate and gate polysilicon;
`SiH4/O2 low temperature oxide (LTO) was used over Aluminum metallization line. Tetraethylorthosi-
`licate (TEOS) decomposition at high temperature can achieve equal or better film quality than HTO,
`but the cost prohibited its practical usage. Tetraethylorthosilicate/O2 plasma deposition achieved much
`better step coverage than LTO and maintains the deposition temperature about 4008C, it replaced LTO in
`the application over aluminum interconnect in the late 1980s. All the evolutions were attributed to better
`chemistry. The game is still going on for almost all the films used in the industry.
`One general rule found is most of CVD reactants are gases. Even for those liquid phase precursors,
`their vapor pressures are relatively high compared with other leading candidates. The vapor pressure
`defined the deliverability from bulk supply to substrate surface. During the course of delivery, the valve
`on/off, the flow rate control and monitoring, and the distribution, all the actions will induce flow
`restriction and pressure lost is unavoidable. High vapor pressure source is required.
`For lower pressure chemicals, the shorter delivery line and/or larger diameter tube are preferred. To
`the extreme, point of use delivery by liquid evaporation could be desirable for being more stable and
`controllable. Tetraethylorthosilicate is the best example. Tetraethylorthosilicate is a very stable liquid;
`the silica deposition rate is directly controlled by the amount of TEOS delivered on to the substrate.
`Liquid TEOS metered by liquid flow controller are directly injected onto hot surface mounted in the gas
`panel right next to the reactor. All the silica deposition systems now equipped with direct liquid injection
`for all the liquid sources (TEOS, triethylphosphate (TEPO), and triethylborate (TEB)). For those semi-
`stable liquid precursors such as dimethyl aluminum hydride (DMAH), 1-methyl pyrolidine alane (MPA),
`most of applications still preferred bubbler for its easier replacement.
`
`DK4126—Chapter13—23/5/2007—17:52—ANBARASAN—240442—XML MODEL CRC12a – pp. 1–87
`
`Elm Exhibit 2169, Page 7
`
`
`
`Chemical Vapor Deposition
`
`13-3
`
`TABLE 13.1 Common CVD Deposition Chemistries
`
`Film Type
`
`Chemistry
`
`SiO2
`
`BPSG
`
`FSG
`
`SiN
`
`SiH4, O2
`SiH4, N2O
`TEOS, O2
`TEOS, O2
`SiH2Cl2, N2O
`TEOS, O3
`SiH4, O2
`SiH4, O2, PH3, B2H6
`TEOS, O3, TEPO, TEB
`SiH4, SiF4, O2
`SiH4, N2O, SiF4
`SiH4, NH3 or N2
`
`Method
`
`Thermal
`PECVD
`Thermal
`PECVD
`Thermal
`Thermal
`HDP CVD
`Thermal
`Thermal
`HDP CVD
`PECVD
`PECVD
`HDP CVD
`Thermal
`PECVD
`Thermal
`Thermal
`Thermal
`Thermal
`Thermal
`Thermal
`Thermal
`Thermal
`Thermal
`
`Application
`
`LTO passivation
`Intermetal dielectric
`Spacer
`Intermetal dielectric
`Spacer
`Gap filling
`Gap filling
`Premetal dielectric
`Premetal dielectric
`Intermetal dielectric
`Intermetal dielectric
`Passivation
`Passivation
`Oxidation or etch mask, spacer, etch stop
`Passivation
`Resistor, gate, bit line, electrode
`Gate, electrode
`Gate, bit line
`Gate, bit line
`Plug, local interconnect
`Electrode, adhesion layer
`Barrier/adhesion layer
`Al plug wetting layer
`Al plug wetting layer
`
`SiH2Cl2, NH3
`SiH4, NH3, N2O
`SiON
`Polysilicon electrode SiH4, or Si2H6
`SiH4, PH3, B2H6
`WF6, SiH4
`WF6, SiH2Cl2
`WF6, H2
`TiCl4, NH3
`TDMAT, N2, H2
`MPA, H2
`DMAH, H2
`
`Tungsten silicide
`
`Tungsten
`Titanium nitride
`
`Aluminum
`
`TEOS: TetraEthylOrthoSilicate; TEPO: TriEthylPhosphate; TEB: TriEthylBorate; TDMAT: TetrakisDiMethylAminoTita-
`nium; DMAH: DiMethylAluminum Hydride; MPA: 1-Methyl Pyrrolidine Alane; PECVD: Plasma Enhanced CVD; HDP
`CVD: High Density Plasma CVD.
`
`In order to deliver more precursors onto the substrate, one easy way is increase its vapor pressure by
`raising the temperature. The temperature has to be maintained all the way from the source to the reactor
`to prevent the precursor re-condensation. This is typically achieved by self regulated heat trace along the
`delivery lines or temperature controlled heat jacket. Cold spots could occur in unintentional miss on
`elbows, connectors, and induce liquid droplets in the delivery line, end up as particles or defects in the
`deposited films. WF6 is a typical example. WF6 has a boiling temperature of about 178C, the fab operation
`temperature right around the temperature. Any actions on the delivery line such as fast evacuation,
`or large quantity usage of gases could induce condensation unless the line is well regulated and heated.
`The source bottle is actually preferred to be chilled to below room temperature, to assure any potential
`condensation will not occur in the line rather inside the source bottle. One could further increase the line
`temperature, since there is probability to hit the precursors stability limit of decomposition, especially
`for those metal organic compound targeted for more advanced applications. The decomposition reaction
`can set the limit for the temperature of gas delivery systems and could cause routine replacement of
`the delivery lines and valves due to its particulates contribution. Tetrakisdimethylaminotitanium
`(TDMAT), DMAH, MPA, TEB; these entire metal organic compounds exhibit the tendency.
`Once the reactants are introduced on the substrate, the reactivity among reactants, between reactant
`and substrate determines the outcome. Some reactions are very fast and could be explosive such as
`SiH4/O2. It has to operate at low pressure such as low-pressure chemical vapor deposition (LPCVD) or
`high density plasma (HDP) deposition, to reduce the gas phase particle. Separated lines supply to the
`reactor until the point of application. Safety interlock is critical to prevent mixing in overflow situation.
`Some reactions are very slow and require external energy to activate such as SiH4/NH3 Plasma-enhanced
`chemical vapor deposition (PECVD) silicon nitride or run at elevated temperature such as SiH2Cl2/NH3
`and SiH2Cl2/N2O. Some reactions generate “long” life time intermediate species and allow the
`
`DK4126—Chapter13—23/5/2007—17:52—ANBARASAN—240442—XML MODEL CRC12a – pp. 1–87
`
`Elm Exhibit 2169, Page 8
`
`
`
`13-4
`
`Handbook of Semiconductor Manufacturing Technology
`
`intermediates diffuse on the surface until it completes the reaction, thus enhancing step coverage
`performance such as TEOS/O3 filling shallow trenches with silica and TiCl4/NH3 forming conformal
`titanium nitride liner and/or capacitor electrode. Some reactions can be very fast, but if one introduce the
`reactants one a time and react only on substrate surface, it can deliver very interesting results such as in
`Atomic layer deposition (ALD) processes.
`The reaction byproduct should be volatile and easy to be purged, and in most of the cases, they are.
`One classical example is high temperature silicon nitride by SiH2Cl2/NH3 chemistry, the reaction
`byproducts form solid adduct NH4Cl. The solid adduct can cause defects on substrates and degrade the
`performance of pump, exhaust systems. The challenge is further expanded by the reactor dry cleaning.
`The cleaning chemistry typically is fluorine containing compounds such as C2F6/O2 and NF3, which
`would generate HF and other fluorinated byproducts which could be lingering in the process cavity and
`get incorporated inside the film and degrade the device performance. The halide by-product from
`reaction such as HCl from TiCl4/NH3 and from cleaning such as HF, SiF4, can have unique affinity to
`NH3 to form adducts like NH4Cl, NH4F, (NH4)2SiF6 which are solid at room temperature and very
`difficult to eliminate. Exhaust line could be heated and the adducts would be pushed towards pump,
`collected after the pump station or one could have a trapping device to collect the adducts and/or to
`break NH3 further down to harmless compounds N2 by applying plasma power.
`In addition, the reaction byproducts could react with the substrate materials and cause detrimental
`effects on microelectronic devices manufacturing such as delaminating or interface poisoning. The
`byproducts that were incorporated inside the films as impurities such as H, Cl, and F could induce gate
`threshold voltage shift, metal line corrosion and junction leakage. Halide chemistries in general, are not
`preferred. If halide chemistry is the only available choice, special precautions have to be paid for process
`optimization to minimize impurity concentration. For example, for depositing TiCl4/NH3 TiN at
`O6008C to minimize its chlorine content, it is used in the area that could tolerate high temperature
`process such as memory contact formation and capacitor electrode. But in aluminum via contact or in
`more advanced contact application, low temperature without halides is a must; metal organic precursor
`for TiN becomes preferred. Another example is the tungsten silicide deposition, WF6/silane chemistry is
`very simple and easy, but the film contains fair amount of fluorine and does influence on transistor
`threshold voltage; WF6/dichlorosilane does get lower fluorine content, but pay the price of higher process
`temperature and more process complication. And PVD WSix does have its role in lowest impurity
`content and earned some process designers’ preference. In addition, the uses of diffusion barrier layers are
`required to contain halogen contaminants for reacting with underlying materials to cause detrimental
`effects such as TiN that is required between Ti PVD film and W CVD film. Where W CVD is using WF6
`and H2 for its superior step coverage, but the WF6 and HF could readily attack Ti underlayer and
`delaminate the whole film stack.
`
`13.2.1.1 Cleaning Chemistry
`
`Most of the thin film materials used in the semiconductor industry can be cleaned or be reacted to form
`volatile byproducts to be pumped away from the reactors. Such as polysilicon, silicon oxide, doped
`polysilicon, doped silicon oxide, silicon nitride, tungsten silicide, and tungsten. These silicon and
`tungsten compounds can react with fluorine to form very volatile silicon fluoride and tungsten fluoride.
`Fluorocarbons and oxygen mixture plasma could generate plenty of free fluorine radicals and their
`reactions with those materials have been studied widely in plasma etching field. C2F6/O2 is the first one
`to be implemented in CVD reactor clean in late 1970s. However, fluorocarbons specifically C2F6 and CF4
`have very strong green house effect in the earth atmosphere. The supply was restricted and severely
`reduced in late 1980s. NF3 was then preferred.
`NF3 plasma in comparison with C2F6/O2 plasma contains more free fluorine radicals and the cleaning
`rate is faster. But the NF3 plasma is more aggressive on the reactor process kits, particularly the heater,
`where the highest temperature is located in the reactors. Another half of the story about the aggressive-
`ness is due to the materials, aluminum, widely used in the plasma-enhanced CVD (PECVD) heater.
`Aluminum will react with fluorine to form non-passive aluminum fluoride on the surface, it can
`
`DK4126—Chapter13—23/5/2007—17:52—ANBARASAN—240442—XML MODEL CRC12a – pp. 1–87
`
`Elm Exhibit 2169, Page 9
`
`
`
`Chemical Vapor Deposition
`
`13-5
`
`sublimate easily above 4008C. It creates particulate inside reactor, degrades the heater surface texture, and
`eventually makes the heater lose its ability to control wafer temperature repeatedly. Remote NF3 plasma
`decouples aggressive plasma from hot surface. And luckily enough, fluorine radicals could have a very
`good lifetime to be delivered handily into the CVD reactors when proper materials were used. Remote
`NF3 plasma is now in the main stream.
`Other chemicals such as HCl and ClF3 also have been used in some cases. HCl is the cleaning gas for
`epitaxial silicon deposition for more than 30 years. The unique high temperature (about 10008C)
`environment of epi reactor cannot tolerate the reactivity of fluorine, even Cl2 is too aggressive. HCl reacts
`with silicon deposits to form silicon chlorides gases. ClF3 is alternative supply of fluorine, it is even less
`aggressive than fluorine radical, but is more reactive than molecular F2. ClF3 is liquid in room tempera-
`ture; it has the same constraint of handling F2. The application is for tube furnaces nitride, and tungsten
`silicide chamber cleaning.
`Waste emissions have got great public attentions as the volume of semiconductor fabrication has
`expanded rapidly. Greenhouse gases such as Freons, fluorine, and chlorine-containing gases used in CVD
`cleaning are subject to tightened regulations. C2F6 (Freon 116) transition to NF3 has happened. The
`efficiency improvement of using NF3 is one of the top of Continuous improvement program.
`
`13.2.2 Reaction Mechanisms: Thermally Activated Reaction, Plasma-
`Enhanced Reaction
`
`The CVD process can be generalized in a sequence of steps (Figure 13.1):
`
`a. Reactants are introduced into reactor;
`b. The gas species are activated and/or dissociated by mixing, heat, plasma or other means;
`c. The reactive species are adsorbed on the substrate surface;
`d. The adsorbed species undergo chemical reaction or react with other incoming species to form a
`solid film;
`e. The reaction by-products are desorbed from the substrate surface;
`f. The reaction by-product is removed from the reactor.
`
`The most critical step is the chemical reaction on the surface to form the desirable film in step d. The
`rest of the steps are just to fulfill the materials transfer requirement. Although film growth is primarily
`accomplished by step d, overall growth rate is controlled by steps a–f in series, with the slowest step
`
`A
`
`B
`
`F
`
`E
`
`C
`
`D
`
`FIGURE 13.1 CVD reaction sequence.
`
`DK4126—Chapter13—23/5/2007—17:52—ANBARASAN—240442—XML MODEL CRC12a – pp. 1–87
`
`Elm Exhibit 2169, Page 10
`
`
`
`13-6
`
`Handbook of Semiconductor Manufacturing Technology
`
`determining the final growth rate. As in any typical chemical kinetics, the determining factors are the
`concentrations of surface species, wafer temperature, incoming charged species and their energies.
`The energy required to generate a chemical reaction includes kinetic energy, vibration energy as well as
`the chemical potential, e.g., F atom vs. F2 molecule. Radio frequency (RF) energy tends to create active
`species with high chemical potential and high kinetic energy due to the external electric field.
`Reactions may occur in the gas phase before reaching the substrate, or on the substrate surface
`among the reactants introduced and/or with byproducts; or on the reactor container walls (either hot
`or cold), or further down in the exhaust stream. Those non-productive reactions can effectively
`decrease the concentration and the rate of supply of desired active species and add potentially
`undesirable by-product species into the reaction. The non-productive reaction can also be detrimental
`by generating particles to induce defects on device, clogging foreline components, reducing the pump
`lifetime and effectively reduce systems productivity. The reaction inside the gas phase is usually
`referred to as a homogeneous reaction, which can be controlled by gas phase temperature and
`concentration dilution by inert gases. Cold wall reactors minimize competitive surface nucleation on
`the reactor wall. Therefore, the gas phase and surface reactions can be controlled separately to achieve
`desired film properties. Sometimes, a certain degree of gas phase reaction is desirable, if the reaction
`intermediates are required to form the final film.
`The choice of reactants largely determines the extent of gas phase reactions vs. surface reactions. In
`some cases, such as SiH4/O2 (with or without PH3, B2H6) or SiH4/WF6, the gas-phase reaction occurs
`as the gases mix together even at room temperature and deposition will occur on any surface they
`encounter. To control the reaction, system designers concentrate on distributing and delivering the
`gases directly to the wafer surface with minimum interference. Low-pressure operating conditions are
`preferred to reduce premature gas phase reactions. In other cases, alternative source gases or liquids
`are selected to avoid gas-phase nucleation or improve step coverage; these include TEOS, TEOS/O2, TEB,
`TEPO, SiH2Cl2/WF6, WF6/H2.
`To minimize undesired deposition in the reactor, single-wafer or batch reactors with limited heated
`area, called “cold wall reactors,” have gained acceptance. In the case of thermal deposition, any hot surface
`in the path of reactants flow will foster deposition. The cold wall reactor design provides the conditions
`for idealized gas delivery and maximized gas utilization for better control of film properties. However,
`energy efficiency is lost due to greater cold surface area which takes away heat.
`In general, higher deposition temperature drives out impurities more efficiently, and the deposited
`films have higher density and a more crystalline structure. In the early years of semiconductor
`manufacturing, high temperature (O6008C) films were preferred. High-temperature films are still
`used around the gate and transistor area. However, overall thermal budget reduction and low-
`temperature multi-level metallization drive the developments of lower temperature processes, including
`new chemistries and plasma configurations.
`Plasma-enhanced deposition typically uses less reactive gases such as SiH4/N2O, TEOS/O2, and
`SiH4/NH3 to avoid gas-phase reactions. The plasma dissociates the precursor and creates high-energy
`forms of the reactant species that accelerate the reaction rate at much lower temperature than without the
`assistance of plasma. Since the generation of active species is directly tied to the plasma, the power input
`and flow rate of SiH4 or TEOS dictate the deposition rate, while temperature has less effect.
`Associated with plasma are charged species such as ions and electrons. The substrate surface not only
`receives active precursors but is subject to the bombardment of charged species. The energy of charged
`species depends on cathode, anode, ground geometry and RF frequency, waveform, which together are
`called the RF configuration. The short-lived active species react and deposit on the surface, the thermal
`energy and ion bombardment continue to modify the deposited materials. Process temperature controls
`the surface and bulk diffusion of active species, while the RF configuration controls the active species
`including ions distribution and their energies distribution; both together influence film properties:
`structure, morphology, density, stress, and impurities. The plasma-enhanced deposited films tend to be
`of smaller grain size, or even amorphous, and contain certain amounts of impurities such as hydrogen,
`carbon or halide atoms.
`
`DK4126—Chapter13—23/5/2007—17:52—ANBARASAN—240442—XML MODEL CRC12a – pp. 1–87
`
`Elm Exhibit 2169, Page 11
`
`
`
`Chemical Vapor Deposition
`
`13-7
`
`The combination of low temperature, self-cleaning capability and versatile film tunability has assured
`the position of PE CVD in the semiconductor industry. To minimize deposits on the reactor surfaces,
`limiting the plasma area is beneficial. The standard parallel plate configuration provides an efficient
`design to focus the bulk of deposition on the wafer. At the same time, the reactor’s plasma capability also
`provides the potential for in situ plasma cleaning by introducing etchant cleaning gases such as C2F6 or
`NF3 to remove silicon dioxide and silicon nitride deposition from chamber surfaces. One limitation of
`plasma deposition involves the potential charge imbedded in the film and an uneven charge effect on the
`finished device. For this reason, the closer to the transistor structure, the more reluctant chipmakers have
`been to use plasma-enhanced deposition. Thus, the plasma enhanced deposition was introduced from
`backend passivation such as silicon nitride, silicon oxynitride, phosphorus doped silica; to intermetal
`dielectrics such as plasma TEOS, HDP silica.
`To overcome the concern of charge damage and still maintain the advantage of low temperature
`processes, two approaches are pursued. One is remote plasma instead of in situ plasma. Reactants are
`plasma dissociated or activated remotely, then introduced onto the substrate surface along with second
`reactants to complete the reaction. The reaction mechanisms are very similar to thermally driven
`processes. But one has to consider the short life time of the activated species and how to distribute over
`the large substrate surface. There is only one close related successful example, TEOS/O3. The O3 is very
`reactive and easy to decompose back to O2. Point of use generation of O3 by corona discharge a