throbber
Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`UNITED STATES PATENT AND TRADEMARK OFFICE
`
`________________
`
`BEFORE THE PATENT TRIAL AND APPEAL BOARD
`
`________________
`
`VOLKSWAGEN GROUP OF AMERICA, INC.,
`Petitioner,
`
`v.
`
`ADVANCED SILICON TECHNOLOGIES LLC
`Patent Owner
`________________
`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`________________
`
`PATENT OWNER’S PRELIMINARY RESPONSE
`PURSUANT TO 37 C.F.R. § 42.107(a)
`
`

`
`TABLE OF CONTENTS
`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`PAGE
`
`I.
`
`II.
`
`III.
`
`IV.
`
`Introduction & Summary of Arguments..........................................................1
`
`The ’935 Patent................................................................................................2
`
`A.
`
`B.
`
`C.
`
`D.
`
`E.
`
`The ’935 Patent Discloses An Inventive Apparatus And Method
`For The Parallel Processing Of Graphics Primitives ............................2
`
`Background of the ’935 Patent Technology..........................................2
`
`The ’935 Patent’s Improved Thread Processing ...................................5
`
`The Challenged Claims of the ’935 Patent .........................................10
`
`The ’935 Patent’s Relevant Litigation History ...................................13
`
`The Primary Asserted References .................................................................15
`
`The Correct Claim Construction of Material Disputed Terms......................17
`
`A.
`
`B.
`
`The Controlling Claim Construction Standard ...................................17
`
`The Material Claim Construction Issues Facing The Board...............18
`
`1.
`
`2.
`
`“arbitration module [that] utilizes an application specific
`prioritization scheme”...............................................................19
`
`“in an order to minimize idle time of the computation
`engine” ......................................................................................22
`
`V.
`
`Volkswagen Has Failed To Prove That the Challenged Claims Are
`Obvious In Light Of Hirata In Combination With Any Other
`Reference .......................................................................................................25
`
`A.
`
`Volkswagen Fails to Construe or Interpret Any of the Claim
`Terms, and Fails to Address the Constructions Provided at the
`ITC.......................................................................................................25
`
`ii
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`B.
`
`Volkswagen Has Failed To Prove That Hirata Discloses the
`“arbitration module [that] utilizes an application specific
`prioritization scheme” Limitation In Claims 1-8 ................................27
`
`C.
`
`Volkswagen Has Failed To Prove That Claims 9-18 Are Invalid ......30
`
`1.
`
`2.
`
`Volkswagen’s Argument That Claims 9-18 Are Obvious
`Is Legally Deficient Because It Fails to Demonstrate
`Why A POSA Would Combine The Five References
`Identified In Its Petition ............................................................31
`
`Volkswagen Has Failed To Prove That Hirata Discloses
`The Claimed Arbitration Module..............................................33
`
`VI. Conclusion .....................................................................................................37
`
`iii
`
`

`
`TABLE OF AUTHORITIES
`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`Page(s)
`
`Cases
`Aventis Pharma S.A. v. Hospira, Inc.,
`675 F.3d 1324 (Fed. Cir. 2012) ..........................................................................18
`
`Becton, Dickinson and Co. v. One StockDuq Holdings, LLC,
`IPR2013-00235, Paper 30 (PTAB Sept. 25, 2014).............................................18
`
`Certain Computing or Graphics Systems, Components Thereof, and
`Vehicles Containing Same,
`Investigation No. 337-TA-984..........................................................13, 14, 22, 24
`
`Cuozzo Speed Techs., LLC v. Lee,
`195 L. Ed. 2d 423 (U.S. 2016)............................................................................26
`
`Ericcson, Inc. v. Intellectual Ventures I LLC,
`IPR2014-00921, Paper 8 (PTAB Dec. 16, 2014) ...............................................18
`
`Hill-Rom Services, Inc. v. Stryker Corporation,
`755 F.3d 1367 (Fed. Cir. 2014) ....................................................................17, 18
`
`Intellectual Ventures Mgmt, LLC, v. Xilinx, Inc.,
`IPR2012-00019, Paper 33 (PTAB February 10, 2014) ......................................17
`
`Jiawei Tech. (HK) Ltd. v. Richmond.,
`IPR2014-00938, Paper 20 (PTAB Dec. 16, 2015) .................................25, 26, 35
`
`KSR Int’l Co. v. Teleflex Inc.,
`550 U.S. 398 (2007)......................................................................................32, 33
`
`Phillips v. AWH Corp.,
`415 F.3d 1303 (Fed. Cir. 2005) (en banc) ..........................................................17
`
`Purdue Pharma L.P. v. Depomed, Inc.,
`2016 U.S. App. LEXIS 5475 (Fed. Cir. Mar. 24, 2016) ....................................33
`
`RPX Corp. v. MD Security Solutions, LLC,
`IPR2016-00285, Paper 9 (PTAB Jun. 6, 2016) ..................................................18
`
`iv
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`Space Exploration Technologies Corp. v. Blue Origin LLC,
`IPR2014-01378, Paper 6 (PTAB Mar. 3, 2015).................................................26
`
`Universal Remote Control, Inc. v. Universal Electronics, Inc.,
`IPR2013-00127, Paper 32 (PTAB June 30, 2014) .............................................17
`
`Wowza Media Sys., LLC v. Adobe Systems Inc.,
`IPR2013-00054, No. 12 (PTAB Apr. 8, 2013)...................................................17
`
`Other Authorities
`
`77 Fed. Reg. 48,756, 48,766 (Aug. 14, 2012) .........................................................17
`
`U.S. Patent No. 6,512,524..........................................................................................5
`
`U.S. Patent No. 6,630,935.................................................................................passim
`
`v
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`TABLE OF EXHIBITS
`
`Exhibit
`
`Description
`
`2001
`
`2002
`
`2003
`
`2004
`
`2005
`
`Declaration of John Hart
`
`U.S. Patent No. 6,412,524
`
`AST’s Preliminary Proposed Claim Constructions, Inv. No. 337-
`TA-984, served on April 8, 2016.
`
`Respondents Preliminary Proposed Claim Constructions, Inv. No.
`337-TA-984, served on April 8, 2016.
`
`Appendix A: Compendium of Extrinsic Evidence Regarding
`“Throughput”
`
`2006
`
`Order No. 42: Construing Terms Of The Asserted Patents
`
`vi
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`I.
`
`Introduction & Summary of Arguments
`
`For at least the following reasons, Volkswagen’s Petition fails to establish
`
`the required likelihood that Volkswagen will prove that the challenged claims of
`
`Advanced Silicon Technologies LLC’s (“AST”) U.S. Patent No. 6,630,935 (the
`
`“’935 Patent”) are unpatentable:
`
` Volkswagen has failed to provide a construction or interpretation of the key
`
`claim terms “arbitration module” and “in an order to minimize idle time of
`
`the computation engine;”
`
` The claims require an arbitration module that uses an application specific
`
`prioritization scheme, but Volkswagen the alleged arbitration module
`
`identified by Volkswagen does not use the alleged arbitration scheme
`
`identified by Volkswagen; and
`
` Volkswagen has failed to demonstrate why a person of ordinary skill in the
`
`art would combine the five references that it uses to challenge claims 9-18.
`
`Accordingly, Volkswagen has not met the threshold requirement of section
`
`314(a), as it has not demonstrated that there is reasonable likelihood that it will
`
`prevail with respect to at least 1 of the claims challenged in the petition. Therefore,
`
`the Patent Trial and Appeal Board (the “Board”) should deny in its entirety
`
`Volkswagen’s Petition requesting inter partes review of AST’s ’935 patent.
`
`1
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`II.
`
`The ’935 Patent
`
`A.
`
`The ’935 Patent Discloses An Inventive Apparatus And Method
`For The Parallel Processing Of Graphics Primitives
`
`The ’935 patent is entitled “Geometric Engine Including a Computational
`
`Module for use in a Video Graphics Controller.” It improved “video graphics
`
`processing,” and, specifically, “the processing of geometric primitives.” ’935
`
`patent at 1:7-9 (attached to the Petition as Exh. 1001); see also Exh. 2001
`
`(Declaration of Dr. John C. Hart) at ¶ 16. The improvement involves a plurality of
`
`thread controllers, and an arbitration module that utilizes a prioritization scheme to
`
`minimize the idle time of a computation engine. Exh. 1001 at Abstract.
`
`B.
`
`Background of the ’935 Patent Technology
`
`Prior to the ’935 patent, a “conventional computing system” used a “central
`
`processing unit … to produce geometric primitives” for “the raster engine of the
`
`video graphics circuit of the video graphics circuit [to generate] pixel data based on
`
`the attributes … of the primitive.” Exh. 1001 at 1:13-38. The ’935 patent satisfied
`
`“a need … for a method and apparatus that provides parallel processing of graphics
`
`primitives … such that a hardware geometry engine may be practically
`
`implemented.” Id. at 1:45-48; see also Exh. 2001 at ¶ 16.
`
`A “geometry engine” of the ’935 patent first transforms the spatial
`
`coordinates of the vertices from their input model-oriented coordinates into screen-
`
`oriented coordinates appropriate for clipping or, for example, transforming for a
`2
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`given viewpoint. Exh. 2001 at ¶ 17. The geometry engine then clips the triangles to
`
`remove triangles outside the view or the portion of triangles only partially viewed.
`
`Id. For triangles at least partially viewed, the original vertices are then shaded with
`
`lighting and other per-vertex processes. Id. Finally a “barycentric interpolation”1
`
`determines the in-between positions and attributes of any new vertices produced by
`
`clipping when a partially-viewed triangle is subdivided into its viewable portion.
`
`Id.; Exh. 1001 at 2:60-3:10.
`
`This pipelined graphics process is depicted in the following diagram:
`
`1 Generally speaking, interpolation calculates a weighted average value for a data
`
`point based on a surrounding range of known data points. Barycentric coordinates
`
`specify the location of the point of a simplex (e.g., a triangle) to be calculated as
`
`the center of mass of the simplex.
`
`3
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`Exh. 2001 at ¶ 17.
`
`The pipelined graphics process continues by sending the transformed,
`
`clipped, shaded and interpolated triangle vertices to the raster engine, which scan-
`
`converts the triangle from its three vertices into the pixels used to fill it and also
`
`determines the pixels’ colors. Id. at ¶ 18. The raster engine then writes the resulting
`
`pixel data into a frame buffer memory, where it can be retrieved as needed for
`
`display. Id. The inventors of the ’935 patent noted that “[p]erforming all of the
`
`primitive processing operations in software consume[d] a large amount of
`
`processing bandwidth that can limit the overall processing speed of the computing
`
`system in which the graphics processing is occurring.” Exh. 1001 at
`
`1:39-42; Exh. 2001 at ¶ 18.
`
`4
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`C.
`
`The ’935 Patent’s Improved Thread Processing
`
`In order to overcome the problems associated with prior art systems that
`
`executed graphics processing software on a general purpose CPU, the ’935 patent
`
`“provides parallel processing of graphics primitives with limited memory
`
`requirements such that a hardware geometry engine may be practically
`
`implemented.” Exh. 1001 at 1:46-48; Exh. 2001 at ¶ 19. The ’935 patent discloses
`
`embodiments of the specialized graphics computation engine on the “geometric
`
`engine of a video graphics circuit” that includes vertex transformations, triangle
`
`clipping, vertex lighting and barycentric interpolation. See Exh. 2001 at ¶ 19. For
`
`example, the figure below demonstrates the clipping process, which determines the
`
`viewable portion of a triangle (in this example the quadrilateral portion to the left
`
`of the dashed line representing the right edge of the display screen). Id. In this
`
`case, clipping removes vertex V2, circled in red, and introduces two new vertices:
`
`C0 and C1, circled in blue. See Exh. 2002 (U.S. Patent No. 6,512,524, filed by a
`
`co-inventor of the ’935 patent) at Figure 4 (annotations added); Exh. 2001 at ¶ 19.
`
`5
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`Generally speaking, an application is simply a program. However, in the
`
`’935 patent, the inventors use the term “application” very specifically to refer to a
`
`plurality of threads that implement a parallel processing video graphics task, such
`
`as, for example, processing graphics primitives. See Exh. 2001 at ¶ 20. The ’935
`
`patent discloses that “the plurality of threads constitutes an application.” Exh.
`
`1001 at 2:51-52 (emphasis added). Dividing a video graphics task into multiple
`
`parallel threads, or “decomposition,” provides additional flexibility when
`
`executing an application program by improving “throughput,” or efficiency, as
`
`commonly measured by the number of instructions executed in a given time
`
`interval. See Exh. 2001 at ¶ 20.
`
`The execution of each thread is controlled by its “thread controller,” which
`
`sends the next operation code (instruction) of its thread to a processor for
`
`execution, but only when the computation module is ready to execute it. Id. at ¶ 21.
`6
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`This allows flexibility at the time of execution, to choose between several
`
`concurrent threads in an application program, and thus improves throughput, for
`
`example by hiding latency. Id. For example, if one thread is going to process data
`
`but the needed data is not yet available, then that thread’s controller can prevent
`
`execution of its operation codes until the data becomes available, allowing other
`
`threads in the application to be executed instead of stalling execution of the entire
`
`application while waiting for the data to become available. See id.
`
`In particular, “[t]he thread controllers . . . each only release operation codes
`
`. . . when the operation codes can be executed without any potential for delay in
`
`waiting for the results of previously issued operation codes.” Exh. 1001 at 3:34-37.
`
`For example, when an operation code is dependent on the results of a previously-
`
`issued operation code, the thread controller may not release the dependent
`
`operation code until a certain amount of time has passed corresponding to the
`
`latency associated with executing the operation code that produces the data
`
`required by the dependent operation code. Id. at 3:34-43.
`
`The ’935 patent demonstrates the use of thread processing for threads in the
`
`computation engine circuitry that are specialized for processing parallel graphics
`
`tasks. See Exh. 2001 at ¶ 22. For example, the ’935 patent discloses that “[t]he
`
`application . . . may be an application corresponding to processing geometric
`
`primitives for use in a video graphics circuit.” Id. at 2:67-3:3. Such an application
`7
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`may include threads for determining the vertices and associated attributes of
`
`primitives to be rendered, performing transform operations on the vertices,
`
`performing clipping operations on the primitives, determining lighting effects, and
`
`determining texture coordinate values.” See id.; Exh. 1001 at 2:67-3:8.
`
`All independent claims of the ’935 patent include an arbitration module. For
`
`example, claim 1 includes an arbitration module that determines the next operation
`
`code, utilizing an “application specific prioritization scheme.” Exh. 1001 at claim
`
`1. The specification demonstrates this by using the application of a geometry
`
`engine consisting of three threads for vertex transformation; one thread for
`
`clipping; multiple threads for vertex shading, or “attribute processing” (“AP”); and
`
`a thread for barycentric interpolation. See Exh. 2001 at ¶ 23. Each of these threads
`
`has its own thread controller, except that groups of AP threads can share the same
`
`thread controller. See id. Figure 4 of the ‘935 patent is reproduced below, and
`
`depicts these thread controllers as items 115, 117, 119, 121, 127, 129, 131, and
`
`133:
`
`8
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`As disclosed in the Abstract, “[t]he arbitration module … utilizes an
`
`application specific prioritization scheme to provide operation codes from the
`
`plurality of thread controllers to the computation engine such that idle time of the
`
`computation engine is minimized.” Exh. 1001 at Abstract. The “prioritization
`
`scheme orders operation codes by prioritizing certain threads over other threads
`
`such that the throughput through the computation module is maximized.” Id. at
`
`2:52-59. Hence throughput (efficiency) is improved by reducing the idle time of
`
`the computation engine. See Exh. 2001 at ¶ 24.
`
`Figure 7 of the ’935 patent demonstrates thread prioritization for a geometry
`
`engine application, simplified to four threads: two transformation threads, one
`
`9
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`clipping thread and one “post-clip” thread that would represent attribute processing
`
`and interpolation. See Hart Decl. at ¶ 25. For example, the application specific
`
`prioritization scheme can prioritize threads “back-to-front,” meaning that threads
`
`toward the end of the pipeline are prioritized higher than threads toward the
`
`beginning of the pipeline. See id.; Exh. 1001 at 31:24-32:43. In this example, “the
`
`application specific prioritization scheme may prioritize operations in a back-to-
`
`front manner that ensures that processing that is nearing completion is prioritized
`
`over processing that is just beginning.” Exh. 1001 at 3:63-66; Exh. 2001 at ¶ 25.
`
`The inventors of the ’935 patent explained that “prioritizing the final steps to
`
`produce results passed to downstream circuitry may help to ensure that the
`
`resources in the pipeline of the computation engine . . . are efficiently utilized and
`
`a regular production rate of results can be maintained.” Id. at 3:63-4:3. Such a
`
`prioritization scheme, and other prioritization schemes disclosed in the ’935 patent,
`
`causes the display to be refreshed with the most up-to-date information available,
`
`such as the current visuals needed for rendering navigation graphics. See Exh.
`
`2001 at ¶ 26.
`
`D.
`
`The Challenged Claims of the ’935 Patent
`
`The Petition challenges all claims of the ’935 patent (i.e., claims 1-18). This
`
`includes independent claim 1 and its dependent claims 2-8, all of which require an
`
`10
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`arbitration module that utilizes an application specific prioritization scheme.
`
`Independent claim 1 is set forth in its entirety below:
`
`1. A computation module comprises:
`memory;
`a computation engine operable to perform an operation
`based on an operation code and to provide a corresponding result
`to the memory as indicated by the operation code;
`a plurality of thread controllers, wherein each of the
`plurality of thread controllers manages at least one corresponding
`thread of a plurality of threads, wherein the plurality of threads
`constitutes an application, and wherein each of the plurality of
`threads includes at least one operation code; and
`an arbitration module operably coupled to the plurality of
`thread controllers, wherein the arbitration module utilizes an
`application specific prioritization scheme to provide operation
`codes from the plurality of thread controllers to the computation
`engine in an order to minimize idle time of the computation engine.
`
`The Petition also challenges independent claim 9 and its dependent claims
`
`10-18. Dependent claim 9 has significantly more claim elements than independent
`
`claim 1, but also requires an arbitration module that selects an operation code from
`
`pending operation codes based upon a prioritization scheme. Independent claim 9
`
`is set forth in its entirety below:
`
`11
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`9. A geometric engine for use in a video graphics controller,
`the geometric engine comprises:
`a vector engine operably coupled to perform an operation
`upon data as indicated by an operation code, wherein the
`operation code includes at least one source address, a destination
`address, and an operation type that indicates the operation to be
`performed;
`a transform controller operably coupled to manage a
`transform thread, wherein the transform thread includes a set of
`transform operation codes, wherein when the transform operation
`codes are executed, the vertex data corresponding to an initial set
`of vertices of a primitive are rotated from an initial coordinate
`system into a different coordinate system to produce rotated vertex
`data for the primitive;
`a clip controller operably coupled to manage a clip thread,
`wherein the clip thread includes a set of clip operation codes,
`wherein when the clip operation codes are executed, a
`determination is made as to whether at least a portion of the
`primitive lies within a clip volume based on the rotated vertex
`data, wherein when at least a portion of the primitive lies within
`the clip volume and at least a portion of the rotated vertex data
`corresponding to at least one vertex of the primitive lies outside of
`the clip volume, new vertex data is calculated for the at least one
`vertex;
`a plurality of attribute controllers, wherein each attribute
`controller of the plurality of attribute controllers is operably
`
`12
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`coupled to manage a corresponding attribute thread, wherein each
`of the corresponding attribute threads includes a set of attribute
`operation codes, wherein when executed, each set of attribute
`operation codes determines an attribute for the vertex data
`corresponding to the initial set of vertices;
`a barycentric controller operably coupled to manage a
`barycentric thread, wherein the barycentric thread includes a set
`of barycentric operation codes, wherein, when executed, the
`barycentric operation codes combine the attributes produced by
`the plurality of attribute controllers with the new vertex data to
`produce adjusted attributes for the primitive; and
`an arbitration module operably coupled to provide the
`operation code to the vector engine, wherein the arbitration
`module selects the operation code from pending operation codes
`issued for execution by the transform controller, the clip
`controller, the plurality of attribute controllers, and the
`barycentric controller, wherein the arbitration module selects the
`operation code from the pending operation codes based on a
`prioritization scheme.
`The ’935 Patent’s Relevant Litigation History
`
`E.
`
`AST is currently asserting the ’935 patent against Volkswagen at the
`
`International Trade Commission in Certain Computing or Graphics Systems,
`
`Components Thereof, and Vehicles Containing Same, Investigation No. 337-TA-
`
`984 (the “ITC Action”). On April 8, 2016, AST and the Respondents exchanged
`
`their preliminary proposed claim constructions for the patents at issue in the ITC
`13
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`Action, including the ’935 patent. See Exh. 2003 (AST’s Preliminary Proposed
`
`Claim Constructions) at 8-11; Exh. 2004 (Respondents’ Preliminary Proposed
`
`Claim Constructions) at 11-17. Although the Petition was filed on April 15,
`
`2015—after the parties exchanged claim constructions in the ITC Action,
`
`Volkswagen did not disclose, identify, or address either party’s proposed claim
`
`constructions in its Petition.
`
`As discussed in more detail below, some of Volkswagen’s claim
`
`construction positions in the Petition conflict with the claim constructions it
`
`proposed in the ITC Action. For example, in the ITC Action Volkswagen
`
`contended that “arbitration module” and “in an order to minimize idle time of the
`
`computation engine” were indefinite. But, because indefinite claims cannot be
`
`anticipated or obvious, and indefiniteness cannot be determined in an inter partes
`
`review, Volkswagen’s indefiniteness allegations are fatal to its Petition here.
`
`Volkswagen Petition failed to disclose its indefiniteness position to the Board, but
`
`still offered no meaning or construction for those terms. Compare Exh. 2004 at 15,
`
`17 with Petition at 15-16.
`
`Moreover, on July 15, 2016, the ITC rejected Volkswagen’s position that
`
`these claim terms are indefinite, and instead construed the terms consistent with
`
`AST’s positions. See Exh. 2006 (Order No. 42: Construing Terms Of The Asserted
`
`14
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`Patents). Volkswagen’s Petition does not take into account AST, and now the
`
`ITC’s claim constructions, which rejects Volkswagen’s position.
`
`III. The Primary Asserted References
`
`Volkswagen’s Petition asserts the following Grounds:
`
`Ground
`
`References
`
`Hirata and Kimura
`
`Hirata, Kimura, and
`Hennessy
`
`Hirata, Kimura, and
`Watkins
`
`Kimura, Hirata, and
`Rentschler
`
`1
`
`2
`
`3
`
`4
`
`5
`
`Basis
`
`§ 103
`
`§ 103
`
`Challenged Claims
`
`1, 2, and 7
`
`3
`
`§ 103
`
`4 and 5
`
`§ 103
`
`6 and 8
`
`Hirata, Kimura, Hennessy,
`Watkins, and Rentschler
`
`§ 103
`
`9-18
`
`Each of the Petition’s Grounds 1-4 (against claims 1-8) rely upon Hirata in
`
`combination with one or two other references. Ground 5 (against claims 9-18),
`
`relies on a purported combination of five different references.
`
`Hirata proposes a multithreaded processor architecture that “greatly
`
`improves machine throughput.” Hirata at 136 (attached as Exh. 1003 to the
`
`Petition). The proposed architecture can be used “as the base processor in a parallel
`
`machine which could run a graphics system.” Id.
`
`15
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`The focus of the Hirata paper is on a technique called parallel multithreading
`
`where an independent instruction from one thread can be issued when an
`
`instruction from another thread cannot be issued due to a control or data
`
`dependency. Id. This technique arose from “hardware resource optimization where
`
`several processors are united and reorganized so that functional units could be fully
`
`used.” Id. at 136-17.
`
`Hirata discloses that a compiler uses one algorithm to schedule code for
`
`computer graphics programs and another algorithm to schedule code for numerical
`
`computation programs. Id. at 141. The compiler uses a simple algorithm for
`
`computer graphics programs, while it employs “more judicious code schedule
`
`techniques” for the more-predictable numerical computation programs. Id. Hirata
`
`describes both of these code scheduling techniques under a subheading entitled
`
`“Static Code Scheduling” because the scheduling is not dynamic, as the compiler
`
`schedules the instructions prior to execution. Id.
`
`Hirata also discloses an instruction schedule unit that, during execution of
`
`applications, provides code for execution according to the static priority set by the
`
`compiler. The scheduling unit cannot change the priority of the code and does not
`
`use the scheduling algorithms. Rather, the instruction schedule unit blindly follows
`
`the order and priorities of the instructions as they were previously and statically set
`
`by the compiler. Id.
`
`16
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`IV. The Correct Claim Construction of Material Disputed Terms
`
`The Controlling Claim Construction Standard
`A.
`The broadest reasonable interpretation standard applies in an inter partes
`
`review of a patent that, like the ’935 patent, will not expire prior to the Final
`
`Written Decision. 37 C.F.R. §100(b); Office Patent Trial Practice Guide, 77 Fed.
`
`Reg. 48,756, 48,766 (Aug. 14, 2012). Applying the broadest reasonable
`
`interpretation, claim terms are given their ordinary and customary meaning, as
`
`would be understood by a person of ordinary skill in the art at the time of the
`
`invention, in light of the language of the claims, the specification, and the
`
`prosecution history of the record. E.g., Wowza Media Sys., LLC v. Adobe Systems
`
`Inc., IPR2013-00054, No. 12 at 5 (PTAB Apr. 8, 2013); Intellectual Ventures
`
`Mgmt, LLC, v. Xilinx, Inc., IPR2012-00019, Paper 33 at 9 (PTAB February 10,
`
`2014); see also Phillips v. AWH Corp., 415 F.3d 1303, 1313-1317 (Fed. Cir. 2005)
`
`(en banc); Hill-Rom Services, Inc. v. Stryker Corporation, 755 F.3d 1367, 1371
`
`(Fed. Cir. 2014).
`
`Under this test, “[t]here is a “heavy presumption’ that a claim term carries its
`
`ordinary and customary meaning.” See Intellectual Ventures, IPR2012-00019,
`
`Paper 33 at 9; Wowza, IPR2013-00054, No. 12 at 6; Universal Remote Control,
`
`Inc. v. Universal Electronics, Inc., IPR2013-00127, Paper 32 at 6 (PTAB June 30,
`
`2014). This heavy presumption is overcome in specific and limited circumstances:
`
`17
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`a claim term may be construed contrary to its ordinary meaning only where there is
`
`clear and unambiguous evidence that the patentee, as lexicographer, provided a
`
`special definition for the claim term, or the patentee otherwise disavowed the full
`
`scope of the claim term either in the specification or during prosecution. Ericcson,
`
`Inc. v. Intellectual Ventures I LLC, IPR2014-00921, Paper 8, at 8 (PTAB Dec. 16,
`
`2014); Becton, Dickinson and Co. v. One StockDuq Holdings, LLC, IPR2013-
`
`00235, Paper 30 at 6 (PTAB Sept. 25, 2014); see also Aventis Pharma S.A. v.
`
`Hospira, Inc., 675 F.3d 1324, 1330 (Fed. Cir. 2012); Hill-Rom, 755 F.3d at 1371.
`
`B.
`
`The Material Claim Construction Issues Facing The Board
`
`The Board should only construe terms to the extent such construction is
`
`necessary to resolve a controversy material to the Petition. See RPX Corp. v. MD
`
`Security Solutions, LLC, IPR2016-00285, Paper 9 at 6 (PTAB Jun. 6, 2016) (citing
`
`Vivid Techs., Inc. v. Am. Sci. & Eng’g, Inc., 200 F.3d 795, 803 (Fed. Cir. 1999)).
`
`Based on the Petition and this Preliminary Response, the only material claim
`
`construction issues currently facing the Board concern the terms “arbitration
`
`module [that] utilizes an application specific prioritization scheme” and “in an
`
`order to minimize idle time of the computation engine.”
`
`As discussed above, claim terms are construed as they would be understood
`
`by a person of ordinary skill in the art. AST proposes that a person of ordinary skill
`
`in the art would have a degree in electrical engineering, computer engineering,
`
`18
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`computer science, or a related field, and at least three years’ experience working in
`
`computer graphics hardware, computer architecture, or related fields, or an
`
`equivalent combination of graduate education and/or work experience. See Exh.
`
`2001 at ¶ 14. In contrast, Volkswagen’s Petition states that a person of ordinary
`
`skill in the art would have the same or similar degree but with only two to four
`
`years’ of industry experience. See Petition at 16. The ITC held that a person of
`
`ordinary skill in the art would have “a degree in electrical engineering, computer
`
`engineering, computer science, or a related field, and at least three to five years’
`
`experience working in computer graphics hardware, computer architecture, or
`
`related fields, or an equivalent combination of graduate education and/or work
`
`experience.” See Exh. 2006 at ¶ 13-14. For purposes of this Preliminary
`
`Responses, the competing definitions of a person of ordinary skill in the art are
`
`identical.
`
`1.
`
`“arbitration module [that] utilizes an application specific
`prioritization scheme”
`
`Consistent with its position in the ITC Action, AST proposes to construe an
`
`“arbitration module [that] utilizes an application specific prioritization scheme”
`
`using its plain and ordinary meaning. The plain and ordinary meaning of this term
`
`is an “arbitration module that orders operation codes dependent on categories of
`
`threads included in an application, i.e., that prioritizes the operation codes based on
`
`19
`
`

`
`Case IPR2016-00897
`U.S. Patent No. 6,630,935
`
`the type/category of the application/threads.” See Exh. 2001 at ¶ 28.This
`
`understanding is based on how one of ordinary skill in the art would understand
`
`this term in light of the intrinsic record. See id. As discussed below, the term
`
`“arbitration module [that] utilizes an application specific prioritization scheme” has
`
`a plain and ordinary meaning to a person of ordinary skill in the art upon review of
`
`the specification. Volkswagen offers no analysis, argument, or expert testimony to
`
`rebut this.
`
`Claim 1 requires:
`
`an arbitration module operably coupled to the plurality of thread
`
`controllers, wherein the arbitration module utilizes an application specific
`
`prioritization scheme to provide operation codes from the plurality of thread
`
`controllers to the computation engine in an order to minimize idle time of the
`
`computation engine.
`
`(Emphasis added).
`
`First, read properly in light of the ’935 patent sp

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket