throbber
CODING AND SIGNAL
`PROCESSING FOR MAGNETIC
`RECORDING SYSTEMS
`
`EDITED BY
`
`· Bane Vasic
`
`University of Arizona
`Tucson,AZ
`
`Erozan M. Kurtas
`
`Seagate Technology
`Pittsburgh, PA
`
`. \ ...
`
`CRC PRESS
`Boca Raton London New York Washington, D.C.
`
`UMN EXHIBIT 2036
`LSI Corp. et al. v. Regents of Univ. of Minn.
`IPR2017-01068
`
`
`Page 1 of 17
`
`

`

`Library of Congress Cataloging-in-Publication Data
`Coding and signal processing for magnetic recording systems / edited by Bane Vasic and Erozan M. Kurtas.
`(Computer engineering; 2)
`p. cm. -
`Includes bibliographical references and index.
`ISBN 0-8493-1524-7 (alk paper)
`1. Magnetic recorders and recording. 2. Signal processing. 3. Coding theory:
`I. Vasic, Bane II. Kurtas, M. Erozan III. Title IV. Series: Computer engineering ( CRC Press); 2.
`
`TK7881.6C62 2004
`621.39-dc22
`
`2004050269
`
`This book contains information obtained from authentic and highly regarded sources. Reprinted material is quoted with
`permission, and sources are indicated. A wide variety of references are listed. Reasonable efforts have been made to publish
`reliable data and information, but the author and the publisher cannot assume responsibility for the validity of all materials
`or for the consequences of their use.
`Neither this book nor any part may be reproduced or transmitted in any form or by any means, electronic or mechanical,
`including photocopying, microfilming, and recording, or by any information storage or retrieval system, without prior
`permission in writing from the publisher.
`All rights reserved. Authorization to photocopy items for internal or personal use, oi: the personal or internal use of ;pecific
`clients, may be granted by CRC Press I.LC, provided that $ 1.50 per page photocopied is paid directly to Copyright Clearance
`Center, 222 Rosewood Drive, Danvers, MA 01923 USA. The fee code for users of the Transactional Reporting Service is
`ISBN 0-8493-1524-7 /05/$0.00+$1.50. The fee is subject to change without notice. For organizations that have been granted a
`photocopy license by the CCC, a separate system of payment has been arranged.
`The consent of CRC Press I.LC does not extend to copying for general distribution, for promotion, for creating new works, or
`for resale. Specific permission must be obtained in writing from CRC Press I.LC for such copying.
`Direct all inquiries to CRC Press I.LC, 2000 N.W. Corporate Blvd., Boca Raton, Florida 33431.
`Trademark Notice: Product or corporate names may be trademarks or registered trademarks, and are used only for
`identification and explanation, without intent to infringe.
`Visit the CRC Press Web site at www.crcpress.com
`
`@ 2005 by CRC Press I.LC
`
`No claim to original U.S. Government works
`International Standard Book Number 0-8493-1524-7
`Library of Congress Card Number 2004050269
`Printed in the United States of America 1 2 3 4 5 6 7 8 9 0
`Printed on acid-free paper
`
`A
`is
`sii
`th
`ar
`cc
`Sp
`d(
`
`re
`di
`e~
`di
`ar
`re
`ta
`m
`cl
`Sl
`
`fc
`a1
`
`w
`o:
`
`o:
`h
`
`IS
`al
`SI
`iI
`n
`tl
`SI
`ti
`v,
`
`
`Page 2 of 17
`
`

`

`Contents
`
`Section I: Recording Systems
`
`1 A Brief History of Magnetic Storage
`Dean Palmer ........................................................................ 1-1
`
`2 Physics of Longitudinal and Perpendicular Recording
`Hong Zhou, Tom Roscamp, Roy Gustafson, Eric Boernern, and Roy Chantrell ....... 2-1
`
`3 The Physics of Optical Recording
`William A. Challener and Terry W. McDaniel ....................................... 3-1
`
`4 Head Design Techniques for Recording Devices
`Robert E. Rottmayer . ................................................................ 4-1
`
`Section II: Communication and Information Theory
`of Magnetic Recording Channels
`
`5 Modeling the Recording Channel
`Jaekyun Moon . ................................................................. .- .... 5-1
`
`6 Signal and Noise Generation for Magnetic Recording Channel Simulations
`Xueshi Yang and Brazan M. Kurtas .................................................. 6-1
`
`7 Statistical Analysis of Digital Signals and Systems
`Dragana Bajic and Dusan Drajic .................................................... 7-1
`
`8 Partial Response Equalization with Application to High Density
`Magneti'c Recording Channels
`John G. Proakis ............................................... : ..................... . 8-1
`
`9 An Introduction to Error-Correcting Codes
`Mario Blaum .................................................................. : .... . 9-1
`
`xv
`
`
`Page 3 of 17
`
`

`

`10 Message-Passing Algorithm
`Sundararajan Sankaranarayanan and Bane Vasic . ................................. 10-1
`
`11 Modulation Codes for Storage Systems
`Brian Marcus and Emina Soljanin ................................................. 11-1
`
`12
`
`Information Theory of Magnetic Recording Channels
`Zheng Zhang, Tolga M. Duman, and Brazan M. Kurtas ............................ 12-1
`
`13 Capacity of Partial Response Channels
`Shaohua Yang and Aleksandar Kavci c .............................................. 13-1
`Introduction to Read Channels
`
`Section III:
`
`14 Recording Physics and Organization of Data on a Disk
`Bane Vasic, Miroslav Despotovit, and Vojin Senk . .................................. 14-1
`
`15 Read Channels for Hard Drives
`Bane Vasic, Pervez M. Aziz, and Necip Sayiner . .................................... 15-1
`16 An Overview of Hard Ddve Controller Functionality
`Bruce Buch . ........................................................................ 16-1
`
`Section IV: Coding for Read Channels
`
`17 Runlength Limited Sequences
`Kees A. Schouhamer Immink ....................................................... 17-1
`
`20
`
`18 Maximum Transition Run Coding
`Barrett J. Brickner . ................................................................. 18-1
`19 Spectrum Shaping Codes
`Stojan Denic and Bane Vasic . ...................................................... 19-1
`Introduction to Constrained Binary Codes with Error Correction Capability
`Hendrik C. Ferreira and Willem A. Clarke ......................................... 20-1
`21 Constrained Coding and Error-Control Coding
`John L. Fan ........................................................................ 21-1
`22 Convolutional Codes for Partial-Response Channels
`Bartolomeu F. Uchoa-Filho, Mark A. Herra, Miroslav Despotovit,
`and Vojin Senk .......... ' ...................................... · .................... 22-1
`23 Capacity-Approaching Codes for Partial Response Channels
`Nedeljko Varnica, Xiao Ma, and Aleksandar Kavci c ................................ 23-1
`
`xvi
`
`C-
`
`
`Page 4 of 17
`
`

`

`....... 10-1
`
`....... 11-1
`
`....... 12-1
`
`....... 13-1
`
`....... 14-1
`
`....... 15-1
`
`....... 16-1
`
`....... 17-1
`
`....... . 18-1
`
`....... 19-1
`
`ity
`....... 20-1
`
`....... 21-1
`
`....... 22-1
`
`....... 23-1
`
`24 Coding and Detection for Multitrack Systems
`Bane Vasic and Olgica Milenkovic ................................................. 24-1
`25 Two-Dimensional Data Detection and Error Control
`Brian M. King and Mark A. Neifeld ................................................ 25-1
`Section V: Signal Processing for Read Channels
`
`26 Adaptive Timing Recovery for Partial Response Channels
`Pervez M. Aziz and Viswanath Annampedu ........................................ 26-1
`2 7 Interpolated Timing Recovery
`Piya Kovintavewat, John R. Barry, M. Fatih Brden, and Brazan M. Kurtas ......... 27-1
`28 Adaptive Equalization Architectures for Partial Response Channels
`Pervez M. Aziz ..................................................................... 28-1
`29 Head Position Estimation
`Ara Patapoutian ................................................................... 29-1
`30 Servo Signal Processing
`Pervez M. Aziz and Viswanath Annampedu ........................................ 30-1
`31 Evaluation of Thermal Asperity in Magnetic Recording
`M. Fatih Brden, Brazan M. Kurtas, and Michael J. Link ............................ 31-1
`3 2 Data Detection
`Miroslav Despotovic and Vojin Senk . ............................................... 32-1
`33 Detection Methods for Data-dependent Noise in Storage Channels
`Brazan M. Kurtas, Jongseung Park, Xueshi Yang, William Radich,
`and Aleksandar Kavci c ............................................................ 33-1
`34 Read/Write Channel Implementation
`Borivoje Nikolic, Michael Leung, Bngling Yeo, and Kiyoshi Fukahori ................ 34-1
`
`Section VI:
`
`Iterative Decoding
`
`35 Turbo Codes
`Mustafa N. Kaynak, Taiga M. Duman, and Brazan M. Kurtas ...................... 35-1
`36 An Introduction to LDPC Codes
`William B. Ryan ................................................................... 36-1
`
`xvii
`
`
`Page 5 of 17
`
`

`

`37 Concatenated Single-Parity Check Codes for High-Density
`.
`Digital Recording Systems
`Jing Li, Krishna R. Narayanan, Brazan M. Kurtas, and Travis R. Oenning .......... 37-1
`
`3 8 Structured Low-Density Parity-Check Codes
`Bane Vasic, Brazan M. Kurtas, Alexander Kuznetsav, and Olgica Milenkavic ....... 38-1
`
`39 Turbo Coding for Multitrack Recording Channels
`Zheng Zhang, Talga M. Duman, and Brazan M. Kurtas . ........................... 39-1
`
`Index ..................................................................................... 1-1
`
`xviii
`
`
`Page 6 of 17
`
`

`

`Read Channels for
`Hard Drives
`
`15.1 Analog Front End .................................. . 15-3
`15.2
`Partial Response Signaling with Maximum
`Likelihood Sequence Estimation .................... . 15-3
`15.3 Adaptive Equalization .............................. . 15-4
`15.4 Viterbi Detection .. ·~· ............................. . 15-4
`15.5
`Timing Recovery ................................... . 15-5
`15.6
`Read Channel Servo Information Detection ......... . 15-6
`15.7
`Precompensation .......... · ........................ . 15-7
`15.8
`The Effect of Thermal Asperites .................... . 15-7
`15.9
`Postprocessor ...................................... . 15-7
`15.10 Modulation Coding ................................ . 15-8
`15.11 Error Control Coding .............................. . 15-9
`15.12 Error Performance Measures ....................... . 15-9
`
`e read channel is a device situated between the drive's controller and the recording head's preamplifier
`gure 15.1). The read channel provides an interface between the controller and the analog recording
`, so that digital data can be recorded and read back from the disk. Furthermore it reads back the
`positioning information from a disk and presents it to the head positioning servo system that
`es in the controller. A typical read channel architecture is shown in Figure 15.2. During a read
`ation, the head generates a pulse in response to magnetic transitions on the media. Pulses are then
`~ified by the preamplifier that resides in the arm electronics module, and fed to the read channel. In
`ead channel the readback signal is additionally amplified and filtered to remove noise and to shape the
`orm, and then the data sequence is detected (Figure 15.2). The data to be written on a disk are sent
`a read channel to a write driver that converts them into a bipolar current that is passed through the
`magnet coils. Priorto sending to read channel, user data coming from computer ( or from a network
`network attached storage devices) are encoded by an error control system. Redundant bits are added
`a way to enable a recovery from random errors that may occur during reading data from a disk. The
`occur due to a number of reasons including: demagnetization effects, magnetic field fluctuations,
`n electronic components, dust and other contaminants, thermal effects etc. Traditionally, the read
`I and drive controller have been separate chips. The latest architectures have integrated them into
`d "super-chips:'
`
`. -,,
`
`15-1
`
`
`Page 7 of 17
`
`

`

`15-2
`
`Disk Drive
`
`Coding and Signal Processing for Magnetic Recording Systems
`to Computer or Network
`
`Drive Controller
`Error
`Head-Positioning
`Control
`Servo
`System
`System
`
`Head
`~ Positioning
`Information
`
`Rea
`
`15
`
`1>-s;
`sigi
`ma
`en,
`hit
`of
`ap
`"t
`fil
`
`tl
`
`FIGURE 15.1 The block diagram o f a disk drive.
`
`to the Controller
`
`Gain
`Control
`Offset _ _ _ _ _ _ _ _ _ __,_.._ Quality
`Monitor
`Control
`Servo
`Address
`Mark
`&
`Burst
`Detector
`
`Preamplifier
`
`Variable
`Gain
`Amplifier
`
`Low-Pass
`Filter
`
`Thermal
`Asperity
`Compen-
`sation
`
`Analog
`FIR
`Filter
`
`Adaptive
`Equalizer
`
`Read Channel
`
`FIGURE 15.2 A typical read channel architecture.
`
`
`Page 8 of 17
`
`

`

`jc Recording Systems
`
`Read Channels for Hard Drives
`
`15-3
`
`,ork
`
`lier
`
`Error
`Control
`System
`
`Data
`
`15.1 Analog Front End
`
`As a first step, the read signal is normalized with respect to gain and offset so that it falls into an expected
`signal range. Variation of gain and offset is a result of variations in the head media spacing, variations in
`magnetic and mechanical and electronic components in the drive, preamplifier and read channel. The front
`end also contains a thermal asperity (TA) circuit compensation. A thermal asperity occurs when the head
`hits a dust particle or some other imperfection on a disk surface. At the moment of impact, the temperature
`of the head rises, and a large signal at the head's output is generated. During a TA a useful readback signal
`appears as riding on the back of a low frequency signal of much higher energy. The beginning of this
`"background" signal can be easily predicted and the TA signal itself suppressed by a relatively simple
`filter.
`High frequency noise is then removed with a continuous-time low pass filter to permit a sampling of
`the signal without aliasing of high frequency noise back into the signal spectrum. The filter frequently
`includes programmable cut-off frequencywhich can be used to shape the signal to optimize data detection.
`A programmable cut-off frequency is essential since the disk rotates with constant angular velocity, and
`data rate varies by approximately a factor of2 from the inner to outer radius of the disk. It is also important
`for the analog filter bandwidth to be switched to allow for low cut-off frequencies when processing servo
`sector information.
`
`the Controller
`
`Partial Response Signaling with Maximum
`Likelihood Sequence Estimation
`
`:After sampling with a rate 1/T, the read signal is passed trough an analog or digital front end filter and
`aetected using a maximum likelihood sequence detector. The partial response signaling with maximum
`elihood sequence estimation PRML was proposed for use in magnetic recording by Kobayashi 30
`ars ago [15, 16]. In 1990 IBM produced the first disk drives employing partial-response signaling with
`aximum-likelihood detection. Today's all read channels are based on some version of the PRML. Cidecyan
`al. (3] described a complete PRML system including equalization, gain and timing control, and Viterbi
`tector. All basic functions of a PRML system have remained practically unchanged, until the introduction
`a postprocessor that performs a special type of soft error correction after maximum likelihood sequence
`tection. Also, significant improvements in all the subsystems have been made during last· 10 years. The
`m "partial response" comes from the fact that the sample of the equalized signal at, say, time nT (Tis
`· aling interval), contains information not only on data bits at time nT, but also on neighboring bits,
`is magnetic transitions. The number of adjacent bits that determine the sample at nT is referred to
`1a1111el memory. The channel memory is a parameter that can be selected in the process of defining
`d channel architecture. The channel memory and the details of the partial response selection are
`based on an attempt to have the partial response be as close a match to the channel as possible.
`the complexity of a maximum likelihood detector is an exponential function of a memory, it is :..,
`ble to keep the memory low, but, the equalization required to achieve this might boost the high
`ency noise, which result in decrease of signal to noise ratio, called equalization loss. The typical value
`annel memory in 'today's read channels is four. The value of an equalized sample at time nT, Yn can
`ritten as
`
`Lh
`
`Y. = L hk · Xn-k
`
`k=O
`
`X11 isa user-data bit recorded attime n(xn E {-1, + l}), and Lh is a channel memory. The coefficients
`1• h(D) = Lf!o hk • Dk, a partial response polynomial or partial response target (Dis a formal,
`elay variable). The main idea in partial response equalization is to equalize the channel to a known
`
`
`Page 9 of 17
`
`

`

`15-4
`
`Coding and Signal Processing for Magnetic Recording Systems
`
`and short target that is matched to the channel spectrum so that noise enhancement is minimum. There(cid:173)
`fore, the deliberate intersymbol interference is intr9duced, but since the target is known, the data can be
`recovered, as explained in the previous article.
`
`15.3 Adaptive Equalization
`To properly detect the user-data it is of essential importance to maintain the partial response target during
`the detection. This implies that channel gain, finite-impulse response (FIR) filter coefficients, and sampling
`phase must be adaptively controlled in real-time. Continuous automatic adaptation allows the read channel
`to compensate for signal variations and changes that occur when drive operation is affected by changes in
`temperature and when the input signals is altered by component aging. Comparing the equalizer output
`samples with the expected partial response samples generates an error signal, which is used to produce
`adaptive control signals for each of the adaptive loops. For filter coefficients control, a lea§t-mean square
`(LMS) algorithm is used [ 4]. LMS operates in the time domain to find filter coefficients that minimize the
`mean-squared errorbetween the samples and the desired response. Initial setting of the filter coefficients
`is accomplished by training the filter with an on-board training sequence, and the adaptation is continued
`while the chip is reading data. Adaptation can be in principle performed on all coefficients simultaneously
`at the lower clock rate or on one coefficient at a time. Since disk channel variations are slow relative to
`the data rate, the time-shared coefficient adaptation achieve; the same optimum filter response while
`consuming less power and taking up less chip area. Sometimes, to achieve better loop stability, not all filter
`coefficients are adapted during reading data. Also, before writing, data are scrambled to whiten the power
`spectral density and ensure proper adaptation filter adaptation.
`The FIR filter also compensates for the large amount of group-delay variation that may be caused
`by a low-pass filter with a nonlinear phase characteristic. Filters with nonlinear characteristics, such as a
`Butterworth filter, are preferred over, say, an equi-ri pp le design of the same circuit complexity, because they
`have much better roll-off characteristics. The number of FIR filter coefficients in practical read channels
`has been as low as 3 and as high as 10 with various tradeoffs associated with the different choices which
`can be made.
`
`15.4 Viterbi Detection
`In many communications systems a symbol by symbol detector is used to convert individual received
`samples at the output of the channel to corresponding detected bits. In today's PRML channels, a Viterbi
`detector is a maximum likelihood detector which converts an entire sequence of received equalized samples
`to a corresponding sequence of detected bits. Let y = (yn) be the sequence of received equalized samples
`corresponding to transmitted bit sequence x = (xn). Maximum likelihood sequence estimation maximizes
`the probability density p(y Ix) across all choices of transmitted sequence x [7]. In the absence of noise
`and mis-equalization, the relationship between the noiseless equalized samples, Zn and the corresponding
`transmitted bits is known by the Viterbi detector and is given by
`
`L
`
`Zn = ~ hk · Xn-k
`k=O
`
`(15.1)
`
`In the presence of noise and mis-equalization the received samples will deviate from the noiseless values.
`The Viterbi detector considers various bit sequences and efficiently compares the corresponding expected
`PR channel output values with those actually received. For Gaussian noise at the output of the equalizer
`and equally probable input bits, maximizing p(y Ix) is equivalent to choosing as the correct bit sequence
`the one closest in a (squared) Euclidean distance sense to the received samples. Therefore, we wish to
`
`
`Page 10 of 17
`
`

`

`Systems
`
`Read Channels for Hard Drives
`
`minimize
`
`l 2)
`~!11 ~ Yn - 8 hk · Xn-k
`P-1 [
`(
`
`L
`
`n. There(cid:173)
`tta can be
`
`-et during
`
`,ampling
`I channel
`tangesin
`T output
`produce
`n square
`mizethe
`fficients
`
`,e while
`all filter
`epower
`
`imizes
`f noise
`mdirtg
`
`'.15.1)
`
`alues.
`1ected
`alizer
`1ence
`.sh to
`
`15-5
`
`(15.2)
`
`The various components of Equation 15.3 are also known as branch metrics.
`The Viterbi detector accomplishes the minimization in an efficient manner using a trellis based search
`rather than an exhaustive search. The search is effectively performed over a finite window known as the
`decision delay or path memory length of the Viterbi detector. Increasing the window length beyond a
`certain value leads to only insignificant improvements of the bit detection reliability or bit error rate
`(BER).
`Despite the efficient nature of the Viterbi algorithm the complexity of a Viterbi detector increases
`exponentially with the channel memory of the PR target. A target with channel memory of L - 1 requires
`for example a zL-l state Viterbi detector trellis. For a fully parallel Viterbi implementation, each Viterbi
`state contains an add-compare-select (ACS) computational unit which is used to sum up the branch
`metrics of Equation 15.2 and keep the minimum metric paths for different bit sequences. Also required
`for the hardware is a zL-l • P bit memory to keep a history of potential bit sequences considered across
`the finite decision delay window.
`
`15.5 Timing Recovery
`A phase-locked loop (PLL) is used to regenerate a synchronous clock from the data stream. The PRML
`detector uses decision directed timirtg recovery typically with a digital loop filter. The digital loop filter
`parameters can be easily controlled using programmable registers and changed when a read channel
`switches from acquisition to tracking mode. Because significant pipelining is necessary irt the loop logic
`to operate at high speeds, the digital loop filter architecture exhibits a relatively large amount of latency.
`It can affect considerably the acquisition time when the timing loop must acquire significant phase and
`frequency offsets. To ensure that only small frequency offsets are present, the synchronizer VCO is phase(cid:173)
`locked to the synthesizer during nonread times. For fast irtitial adjustment of the samplirtg phase, a known
`preamble is recorded prior to user data. The time adjustment scheme is obtairted by applyirtg the stochastic
`gradient technique to minimize the mean squared difference between equalized samples and data signal
`
`ifg
`Frequency
`®-+ Integrator
`
`Phase
`Detector
`
`. :_,,
`
`Digital PLL
`
`Recovered
`Clock
`
`Synthesized
`Clock
`
`
`Page 11 of 17
`
`

`

`15-6
`
`Coding and Signal Processing for Magnetic Recording Systems
`
`estimates. To compensate for offset between the rate of the signal received and the frequency of the local
`timing source the loop filter design allows for a factor I':,. Tn to b~ introduced, so that the sample at discrete
`time n is taken T + I':,. Tn seconds after the sample at discrete time n -
`l. In acquisition mode, in order to
`quickly adjust the timing phase, large values for loop gains are chosen. In tracking mode, the loop gains
`are lowered to reduce loop bandwidth.
`
`15.6 Read Channel Servo Information Detection
`In an embedded servo system (introduced in the previous article), the radial position of the read head
`is estimated from two sequences recorded on servo wedges:· track addresses and servo-bursts. The track
`address provides a unique number for every track on a disk, while a servo-burst pattern is repeated on each
`track or on a group of tracks. Determining the head position using only the track number is not sufficient
`because the head has to be centered exactly on a given track. Therefore, the servo-burst waveform is used
`in conjunction with the track address to determine the head position. Using the servo-burst pattern, it is
`possible to determine the off-track position of a head with respect to a given track with a high resolution.
`While positioning the head over a surface, the disk drive can be in either seeking or tracking operation
`mode. In seeking mode, the head moves over multiple tracks, trying to reach the track with a desired
`address as quickly as possible, while in tracking mode, the head tries to maintain its position over a track.
`The track addresses are therefore used mostly in the seeking mode, while servo-burst information is usually
`used in the tracking mode [25, 30].
`In read channels periodic servo-burst waveforms are detected and used to estimate radial position. The
`radial position error signal is calculated based on the current estimated position and the position of the
`track to be followed, and then used in an external head positioning servo system. Generally two types
`of position estimators are in use: maximum likelihood estimators that are based on a matched filtering,
`and suboptimal estimators based on averaging the area or the peaks of the incoming periodic servo-burst
`waveform. A variety of techniques have been used to demodulate servo bursts including amplitude, phase
`and null servo detectors. Today, most read channels use an amplitude modulation with either peak or area
`detection demodulators.
`Older generation channels generally implemented the servo functions in analog circuitry. The analog
`circuitry of these servo channels partially duplicates functions present in the digital data channel. Now,
`several generations of read-channel chips have switched from analog to digital circuits and digital signal
`processing [8, 34]: These channels reduce duplication of circuits used for servo and data and provide a
`greater degree of flexibility and programmability in the servo functions.
`Typically a single analog to digital converter (ADC) or quantizer is used for both data detection and
`servo position error signal estimation [8, 20, 27, 34]. However, quantizer requirements are different in
`data and servo fields. Compared to position error signal estimators, data detectors require a quantizer
`with higher sampling clock rate. On the other hand position error signal estimators require_a quantizer
`with finer resolution. A typical disk drive has a data resolution requirement of around six bits, and a servo
`resolution requirement of around seven or eight bits. Furthermore, servo·bursts are periodic waveforms
`as opposed to data streams. In principle, both the lower sampling clock rate requirement in the servo field
`and the periodicity property of servo-burst signals can be exploited to increase the detector quantization
`resolution for position error signal estimation. The servo field is oversampled asynchronously to increase
`the effective quantizer resolution.
`Track densities in today's hard drives are higher than 25000 tracks per inch and, and the design of a
`tracking servo system is far from trivial. Some of the recent results include [2, 24, 25, 26, 31]. Increasing
`the drive level servo control loop bandwidth is extremely important. Typical bandwidth of a servo system
`is about 1.5 kHz, and is mainly limited by the parameters that are out of reach of a read channel designer,
`such as mechanical resonances of voice coil motor, arm holding a magnetic head, suspension, and other
`mechanical parameters.
`Another type of disturbance with mechanical origins, that has to be also detected and controlled in
`a read channel is repeatable runout (RRO) /n the position of the head with respect to the track center.
`
`I
`
`
`Page 12 of 17
`
`

`

`!ecording Systems
`
`Read Channels for Hard Drives
`
`15-7
`
`equency of the local
`1e sample at discrete
`,n mode, in order to
`10de, the loop gains
`
`m of the read head
`vo-bursts. The trackL
`1 is repeated on each
`1ber is not sufficient
`st waveform is used.
`J-burst pattern, it is
`h a high resolutio
`
`radial position."
`the position of
`;enerally two
`1 matched filte
`
`These periodic disturbances are inherent in any rotating machine, and can be as a result of an eccentricity
`of the track, offset of the track center with respect to the spindle center, bearing geometry and wear and
`rnotor geometry. The frequencies of the periodic disturbances are integer multiplies of the frequency of
`rotation of the disk, and if not compensated they can be a considerable source of tracking error. In essence
`the control system possesses an adaptive method to learn on-line the true geometry of the track being
`followed, and a mechanism of continuous-time adaptive runout cancellation [31].
`
`Precompensation
`
`Nonlinear bit shift in magnetic recording is the shift in position of a written transition due to the de(cid:173)
`magnetizing field from adjacent transitions. In a PRML channel, the readback waverofrn is synchronously
`.sampled at regular intervals, and the sample values depend on the position of written transitions. There(cid:173)
`ore nonlinear bit shift leads to error in sample values which, in turn, degrades the channel performance.
`e write precompensation is employed to counteract the nonlinear bit shift. However, determining the
`onlinear bit shift is not simple and straightforward especially when one tries to fine tune each drive for
`optimum precompensation. The precompensation circuit generates the write clock signal whose indi(cid:173)
`ual transition timing is delayed from its.nominal position by the required precompensation amount.
`e amount of precompensation and the bit patterns requiring precompensation can be found using
`extracted pulse shape [10, 18]. Another approach is a frequency-domain technique that offers simple
`_asurement procedure and a possible hardware implementation using a band-pass filter [32] or using
`L sample values [ 3 3].
`
`The Effect of Thermal Asperites
`lained earlier, if a head hits a dust particle, a long thermal asperity will occur, producing a severe
`· nt noise burst, loss of timing synchronization, or even off-track perturbation. Error events caused
`ermal asperities (TA) are much less frequent than random error events, but they exist and must be
`into account during read channel design. If there were no TA protection in the read channel, a
`flock in timing recovery system would occur, causing massive numbers of data errors well beyond
`or correction capability of any reasonable ECC system. Despite TA protection, the residual error
`t be completely eliminated, and many bits will be detected incorrectly. However, the read channel
`be designed to enable proper functioning of timing recovery in the presence of bogus samples.
`ly the read channel estimates the beginning and length of TA and sends this information to the
`· tern, which may be able to improve its correction capability using so-called erasure information.
`r, since the TA starting location is not lmown precisely, and the probability of random error in the
`tor is not negligible, the ECC system can misscorrect, which is more dangerous than not to detect
`
`Postprocessor
`
`e channel memory and noise coloration, maximum likelihood sequence detector (Viterbi de-
`roduces some error patterns more often than others. They are referred to as dominant error
`or error events, and can be obtained analytically or through experiments and/or simulation.
`equencies of error events strongly depend on a recording density.
`eek processors combine syndrome decoding and soft-decision decoding. Error eventlikelilioods
`soft decoding can be computed from a channel sequence by some kind of soft-output Viterbi
`By using a syndrome calculated for a received codeword, a list is created of all possible positions
`r events can occur, and then error event likelihoods are used to select the most likely position
`ly type of the error event. Decoding is completed by finding the error event position and type.
`can make two type of errors: it fails to correct if the syndrome is zero, or it makes a wrong
`
`
`Page 13 of 17
`
`

`

`15-8
`
`Coding and Signal Processing for Magnetic Recording Systems
`
`correction if the syndrome is nonzero but the most likely error event or combination of error events do
`not produce right syn

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket