throbber
Ulllted States Patent [19]
`Cheung et al.
`
`US005968324A
`[11] Patent Number:
`[45] Date of Patent:
`
`5,968,324
`Oct. 19, 1999
`
`[54] METHOD AND APPARATUS FOR
`DEPOSITING ANTIREFLECTIVE COATING
`
`1-187239
`6-240459
`
`7/1989 Japan .
`8/1994 Japan ~
`
`[75] Inventors: David Cheung, Foster City; Joe Feng,
`Santa Clara; Judy H- Huang, LOS
`GatOS; Wai-Fan Yall, Mountain View,
`all Of Calif-
`
`[73] Assignee: Applied Materials, Inc., Santa Clara,
`Calif
`
`[21] Appl. No.: 08/672,888
`_
`_
`Flled'
`
`[22]
`
`Jun‘ 28’ 1996
`.
`.
`Related [18' Apphcatlon Data
`-
`-
`-
`-
`-
`t
`63 C t
`—
`— tf l
`t N.08567338D .5
`lggninséiaférllrégar 0 app lea Ion 0
`[
`]
`/
`’
`’
`e0 ’
`6
`Int. Cl. ................................................... .. C23C 14/54
`[51]
`[52] US. Cl. .................. .. 204/192.28; 427/569; 427/579;
`438/636; 438/786; 438/787; 438/788; 438/792
`[58] Field Of Search ..................... .. 204/192.28; 427/569,
`427/579; 438/636, 786, 787, 788, 792
`_
`References C1ted
`U.S. PATENT DOCUMENTS
`
`[56]
`
`OTHER PUBLICATIONS
`Tsu, et al, “Local atomic structure in thin ?lms of silicon
`nitride and silicon diimide produced by remote plasma—en
`hanced chemical—vapor deposition,” Physical RevieW B:
`Condensed Matter, vol. 33, No. 10, American Physical
`Society, pp 70694076, May 1986_
`Database CAPLUS, Chemical Abstracts, vol. 105, (Colum
`bus, OH), abstract No. 49711, issued 1986, ‘Infrared spec
`troscopic study of silcon oxide (SiOx) ?lms produced by
`plasma enhanced chemical vapor deposition’, Pai, et al,
`Journal of Vacuum Science and Technology, 4(3, Pt. 1),
`689—94, (No month available).
`Database CAPLUS, Chemical Abstracts, vol. 119, (Colum
`bus OH) abstract No. 60911 issued 1993 Smith et al
`’
`’
`’
`’
`‘Chemistry of silicon dioxide plasma deposition’, Journal of
`the Electrochemical Society, 140(5), 1496—503, (No month
`available)
`Database CAPLUS, Chemical Abstracts, VOL 120, (Comm
`bus, OH) abstract No. 121821, issued 1994, Kushner, Mark
`J” ‘Plasma chemistry of helium/oxygen/silane and heliurn/
`nitrous oxide/silane mixtures for remote plasma—activated
`chemical vapor deposition of silicon dioxide’, Journal of
`Applied Physics, 1993, 74(11), 6538—53, (NO month avail
`able)
`
`3,990,100 11/1976 Mamine et al. ........................ .. 357/30
`
`(Ust Continued on next Page)
`
`4,877,641 10/1989 Dory .............. ..
`
`.. 427/38
`
`~
`
`~
`
`-
`
`,
`
`,
`
`,
`
`,
`
`5’288’527
`5 330 883
`573407621
`5,436,463
`5,665,214
`
`FOREIGN PATENT DOCUMENTS
`
`0 291 181 A2 11/1988 European Pat. Off. .
`0 588 087 A2 3/1994 European Pat. Off. .
`
`4,888,190 12/1989 Felts 61 a1.
`4,910,122
`3/1990 Arnold et al.
`4,992,299
`2/1991 Hochberg et al.
`4,992,306
`2/1991 Hockberg et al.
`5,068,124 11/1991 Batey et a1~
`flail“? a:
`2/1994 Jousse et a1‘
`7
`427/571
`8/1994 MatsumOto et aL _
`250/55904
`7/1995 Rostoker ............ ..
`9/1997 Iturralde ........................... .. 204/29803
`
`. 427/10
`430/313
`427/38
`427/2553
`427/39
`
`427/579
`
`asuaea..
`
`/1994 Garza ................. ..
`
`.. 430/513
`
`d & C
`igmary ixamltmjjean \émcent d & T
`Omey’ g6”) Or ‘rm— Ownsen
`Ownsen
`reW
`[57]
`ABSTRACT
`
`-
`
`-
`
`-
`
`~~
`
`-
`
`~
`
`A stable process for depositing an antire?ective layer.
`Helium gas is used to loWer the deposition rate of plasma
`enhanced sllane oxide, sllane oxynltrlde, and sllane nltrlde
`processes. Hellum ls also used to stablllZe the process, so
`that dlfferent ‘?lms can be deposlted. The lnventlon also
`Provldes Condltlons under WhICh Process Parameters can be
`Controlled to produce antire?ective layers With varying
`optimum refractive index, absorptive index, and thickness
`for obtaining the desired optical behavior.
`
`_
`
`_
`
`_
`
`_
`
`37 Claims, 3 Drawing Sheets
`
`EFFECT OF INCREASE ON
`n
`k
`T
`I’
`INCREASE lN:
`T
`T
`T
`T
`TEMPERATURE
`T
`T
`T
`T
`PRESSURE
`T,
`T
`T
`T
`POWER
`T
`T
`T
`T
`SPACING
`T
`T
`T
`T
`siH4
`T
`T
`T
`T
`N20
`T
`T
`T
`T
`NHS
`T
`T
`T
`T
`N2
`T
`T
`T
`T
`He
`TOTAL GAS FLOW T
`T
`T
`T
`
`MICRON Ex.1006 p.1
`
`

`

`5,968,324
`Page 2
`
`OTHER PUBLICATIONS
`
`HishikaWa, et al, ‘Principles for controlling the optical and
`electrical properties of hydrogenated amorphous silicaon
`deposited from a silane plasma’, Journal of Applied Physics,
`73 (9), 4227—42.31, May 1993.
`Database CAPLUS, Chemical Abstracts, vol. 111, (Colum
`bus, OH), abstract No. 145111, issued 1989, ‘The effect of
`helium dilution on PECVD silicon dioxide’, Rahman,
`Saadah Abdul, Jurnal FiZik Malaysia, 10(1), 20—3, (No
`month available).
`
`OgaWa, T., et al., “SiOXNy:H, high performance anti—re
`?ective layer for the current and future optical lithography,”
`SPIE (1994), 2197:722—732. (No month available).
`Tsu, et al., “Deposition of silicon oXynitride thin ?lms by
`remote plasma enhanced chemical vapor deposition,” J.
`Vacuum Science & Technology, Part A 5(4):1998—2002,
`Jul/Aug. 1987.
`Knolle, “Correlation of refractive indeX and silicon content
`of silicon oXynitride ?lms,” Thin Solid Films, 168:123—132
`(Jan. 1989).
`
`MICRON Ex.1006 p.2
`
`

`

`U.S. Patent
`
`Oct. 19, 1999
`
`Sheet 1 of 3
`
`5,968,324
`
`
`jivdHINeeHIToo7HNLCM“OWA
`
`——~LI=HIIHHIiHOddns
`
`
`
`ZLYWNOGaivWitio9XKLe
`BE“ve
`U7]ABOWANYOSSA00U"d
`
`
`
`
`-9Z/
`
`MMsyogans|!!!fy]
`|ifneoniehotbed.
`élyoo
`iYHOLVINSNI
`HOLOS144
`—~|YAMOddY[cz
`Sh€Z
`
`SHIONIS
`
`SSIOOHdGAD
`
`HAaNVHO
`
`OL
`
`PP
`
`Addins
`
`
`
`
`
`
`
`
`MOGNIMHon
`
`L‘Old
`
`dV
`
`31ndow
`
`MICRON Ex.1006 p.3
`MICRON Ex.1006 p.3
`
`
`
`
`

`

`U.S. Patent
`
`Oct. 19,1999
`
`Sheet 2 0f 3
`
`5,968,324
`
`y/M
`
`CANCELLED{
`
`ABSORBEDJ
`
`LAYER
`
`AIR
`
`PHOTORESIST
`
`UNDERLYING LAYER
`
`SUBSTRATE
`
`LAYER
`AIR
`
`PHOTORESIST
`
`ARL
`
`SUBSTRATE
`
`FIG. 2
`
`FIG. 3
`
`MICRON Ex.1006 p.4
`
`

`

`U.S. Patent
`
`Oct.19,1999
`
`Sheet 3 of3
`
`5,968,324
`
`EFFECT OF INCREASE ON
`n
`k
`t
`r
`INCREASE IN:
`T
`T
`T
`T
`TEMPERATURE
`T
`T
`T
`T
`PREssuRE
`T
`T
`T
`T
`POWER
`T
`T
`T
`T
`SPACING
`T
`T
`T
`T
`STH4
`T
`T
`T
`T
`N20
`T
`T
`T
`T
`NH3
`T
`T
`T
`T
`N2
`T
`T
`T
`T
`He
`TOTAL GAS FLOW T
`T
`T
`T
`
`FIG. 4
`
`MICRON Ex.1006 p.5
`
`

`

`5,968,324
`
`1
`METHOD AND APPARATUS FOR
`DEPOSITING ANTIREFLECTIVE COATING
`
`CROSS REFERENCE TO RELATED
`APPLICATION
`This is a continuation-in-part patent application of appli
`cation Ser. No. 08/567,338, ?led Dec. 5, 1995, entitled
`“Anti-Re?ective Coating and Method for Depositing Same”
`noW abandoned.
`
`BACKGROUND OF THE INVENTION
`
`The present invention relates to apparatus for, and the
`processing of, semiconductor Wafers. In particular, the
`invention relates to the deposition of antire?ective layers
`during Wafer processing.
`In the manufacture of integrated circuits, photolitho
`graphic techniques are used to de?ne patterns for layers in
`an integrated circuit. Typically, such photolithographic tech
`niques employ photoresist or other light-sensitive material.
`In conventional processing, the photoresist is ?rst deposited
`on a Wafer, and then a mask having transparent and opaque
`regions Which embody the desired pattern, is positioned over
`the photoresist. When the mask is exposed to light, the
`transparent portions alloW light to expose the photoresist in
`those regions, but not in the regions Where the mask is
`opaque. The light causes a chemical reaction to occur in the
`exposed portions of photoresist. A suitable chemical, or a
`chemical vapor or ion bombardment process, then is used to
`selectively attack either the reacted or unreacted portions of
`the photoresist. With the photoresist pattern remaining on
`the Wafer itself noW acting as a mask for further processing,
`the integrated circuit can be subjected to additional process
`steps. For example, material may be deposited on the circuit,
`the circuit may be etched, or other knoWn processes carried
`out.
`In the processing of integrated circuit devices With small
`feature siZes, for example, feature siZes having critical
`dimensions less than one-half micron, sophisticated tech
`niques involving equipment knoWn as steppers, are used to
`mask and expose the photoresist. The steppers for such small
`geometry products generally use monochromatic (single
`Wavelength) light, Which enables them to produce very ?ne
`patterns. As repeated process steps are carried out, hoWever,
`the topology of the upper surface of the substrate becomes
`progressively less planar. This uneven topology can cause
`re?ection and refraction of the monochromatic light, result
`ing in exposure of some of the photoresist beneath the
`opaque portions of the mask. As a result, this differing local
`substrate surface typography can alter the ?ne patterns of
`photoresist, thereby changing the desired dimensions of the
`resulting regions of the semiconductor substrate.
`In the manufacture of semiconductor devices, it is desir
`able that ?uctuations in line Width, or other critical
`dimensions, be minimiZed. Errors in such dimensions can
`result in open or short circuits, thereby ruining the resulting
`semiconductor devices. As a result, some semiconductor
`manufacturers noW require that the dimensional accuracy of
`a photoresist pattern be Within 5 percent. To achieve that
`dimensional accuracy, tWo approaches have been taken.
`Both approaches entail the use of another layer in addition
`to the photoresist layer.
`The ?rst approach uses a relatively thick organic ?lm
`beneath the photoresist that absorbs incident light so that
`minimal re?ection or refraction occurs. A disadvantage of
`such organic ?lms is that they require more process steps,
`and being polymer-based, are dif?cult to etch.
`
`15
`
`25
`
`35
`
`45
`
`55
`
`65
`
`2
`A second approach is the use of an antire?ective ?lm for
`canceling re?ections occurring at the photoresist
`antire?ective layer interface, and at the antire?ective layer
`substrate interface. In the prior art, silicon oxynitride (SiON)
`deposited using NH3 gas has been used as an antire?ective
`?lm. Upon exposure to light, hoWever, an amino group from
`the SiON ?lm reacts With the light sensitive component in
`the photoresist, thereby desensitiZing the photoresist. This
`results in inaccurate photoresist patterns.
`An article entitled “SiOxNyzH, high performance antire
`?ective layer for the current and future optical lithography,”
`SPIE, Vol. 2197 (1994), pp. 722—732, by Tohro OgaWa, et
`al., addresses the thin ?lm interference concerns. The article
`teaches the use of an antire?ective layer (ARL) in conjunc
`tion With the I-line, KrF, and ArF excimer laser lithogra
`phies. The exposure Wavelengths used in these laser lithog
`raphies are 365 nm, 248 nm, and 193 nm, respectively. The
`article describes that as exposure Wavelengths become
`shorter, stronger re?ections from the interface betWeen the
`photoresist and the substrate result. Hence, an ARL is
`needed to reduce the standing Waves and thin ?lm interfer
`ence effects.
`This ARL is described as canceling re?ection from both
`the interface betWeen the photoresist and the ARL, and from
`the interface betWeen the ARL and the substrate. The article
`describes a complicated equi-energy contour-based proce
`dure for determining the parameters to achieve the desired
`cancellation. According to the procedure described in this
`article by Sony, the parameters are obtained by ?nding
`common regions of the equi-energy contour lines for a
`plurality of photoresist ?lm thicknesses. The article
`describes refractive index, absorptive index, and thickness
`values for its ARL, and though the article does not specify
`it, Applied Materials inventors have determined that these
`values correspond to a phase shift of 180° betWeen the
`re?ections. The Applied engineers, hoWever, Were unable to
`achieve the results described in the article, and it is believed
`that the process is unstable.
`Sony has also ?led a European patent application
`(Application No. 931132195, Publication No. EP 0 588 087
`A2) for a process for depositing an ARL With selected
`parameters. The Sony application discusses the SiH4 and
`N20 ratio, and hoW the ratio affects the optical and chemical
`properties of the ARL deposited. The Sony application also
`teaches the use of argon.
`
`SUMMARY OF THE INVENTION
`
`The present invention provides apparatus and a process
`for depositing an antire?ective layer. Because ARL ?lms are
`thin, to have a stable process it is desirable to have a loW
`deposition rate for the process. The invention provides
`apparatus and a process to loWer the deposition rate of
`plasma-enhanced silane oxide, silane oxynitride, and silane
`nitride processes. In a preferred embodiment helium is used.
`Although helium is a knoWn carrier gas in chemical vapor
`deposition, its use in the present invention is for controlling
`the deposition rate of the processes. By adding helium, more
`precise control of the thin ?lm thickness is provided, par
`ticularly over longer periods of equipment operation. The
`helium also helps stabiliZe the process, enabling different
`?lms to be deposited, and the ?lm deposited to be Well
`controlled.
`The present invention also provides equipment and pro
`cess conditions under Which parameters can be controlled to
`produce ARLs With various optimum refractive index,
`absorptive index, and thickness values for obtaining the
`
`MICRON Ex.1006 p.6
`
`

`

`3
`desired cancellations for the different exposure Wavelengths
`and substrates. In one embodiment, the apparatus and pro
`cess described by the present invention use N2 and NH3, in
`addition to a desired ratio of SiH4 to N20, to further control
`the optical and chemical properties of the ARL deposited.
`The effects of N2 and NH3 are particularly dominant in
`process regimes Where SiH4 and N20 have minimal or no
`effect on the ARL properties, e.g., at loW temperature. The
`invention teaches the addition of NH3 and N2 in the process
`to change the composition of the ?lm, alloWing more
`freedom and ?ner tuning of the refractive index and the
`absorptive index. Furthermore, the process is compatible
`With the use of helium, Which is more cost-effective than
`argon. Helium also alloWs for improved stress control of the
`ARL layer deposited. This helps prevent the ?lm from
`becoming too tensile, Which can cause it to ?ake off the
`substrate after deposition.
`As applied to the process above, the addition of helium
`also achieves plasma stability, Which in turn ensures the
`deposition of a uniform ?lm. Furthermore, the helium pro
`vides suf?cient control of the ARL deposition process, so
`that ARLs With optimum values of refractive index, absorp
`tive index, and thickness can be developed Within practical
`process parameters for exposure Wavelengths in the range of
`190—900 nm. This is highly desirable because cancellation
`of the re?ected light for different exposure Wavelengths
`depends on several factors: the incident light Wavelength,
`the phase shift (Which is determined by the thickness of the
`ARL), and the intensity of the re?ection (determined by the
`chemical composition of the ARL). Hence, control of the
`optical and chemical properties of the ARL is necessary to
`achieve the desired cancellations.
`The present invention determines the optimum refractive
`index, absorptive index, and thickness values for phase
`shifts greater than 180° (e.g., 540°, 900°, etc.) using destruc
`tive interference equations. (A sample calculation of hoW the
`refractive index n, absorptive index k, and thickness t values
`are determined by the current invention is shoWn beloW.) For
`an ARL to produce phase shifts of 540° or larger betWeen the
`re?ections, the thickness Will be higher, Which means higher
`absorptive index values, because the ARL must absorb more
`refracted light. In one embodiment, the invention provides
`an ARL With optimum refractive index n, optimum absorp
`tive index k, and optimum thickness t values to produce a
`phase shift of 540° betWeen the re?ections.
`
`BRIEF DESCRIPTION OF THE DRAWINGS
`
`FIG. 1 is a vertical, cross-sectional vieW of one embodi
`ment of a simpli?ed chemical vapor deposition (CVD)
`apparatus used for processing the antire?ective coating
`according to the present invention;
`FIG. 2 is a vertical, cross-sectional vieW of paths of
`re?ected and refracted light of an incident light beam Which
`strikes the surface of a multilayer semiconductor device, for
`example, during a photolithographic process;
`FIG. 3 shoWs the effect of using an antire?ective layer
`according to the present invention; and
`FIG. 4 is a trend chart for the process of depositing the
`antire?ective layer of the present invention.
`
`10
`
`15
`
`20
`
`25
`
`30
`
`35
`
`40
`
`45
`
`50
`
`55
`
`60
`
`DESCRIPTION OF THE SPECIFIC
`EMBODIMENTS
`
`Apreferred embodiment of the process for depositing an
`ARL according to the present invention comprises apparatus
`for and the use of plasma-enhanced chemical vapor depo
`
`65
`
`5,968,324
`
`4
`sition (PECVD) technique to bring about a chemical reac
`tion betWeen SiH4 and N20, in the presence of He, With the
`SiH4 to N2O ratio being betWeen about 0.5 to 3.0 and
`preferably 1.0. The process further includes the addition of
`NH3, N2, and He gases. FIG. 1 illustrates one embodiment
`of a simpli?ed, parallel plate PECVD system 10 having a
`vacuum chamber 15 in Which the antire?ective layer can be
`deposited according to the present invention.
`System 10 contains a gas distribution manifold 11 for
`dispersing the deposition gases to a substrate, not shoWn, but
`Which is placed ?at on the supporter 12. The supporter 12 is
`highly heat-responsive and is mounted on supports 13 so
`that supporter 12 (and the substrate supported on the upper
`surface of supporter 12) can be controllably moved betWeen
`a loWer loading/of?oading position, and an upper processing
`position 14 represented by a dashed line, Which is closely
`adjacent to manifold 11.
`Depending on the desired refractive index, absorptive
`index, and thickness to be achieved, the spacing betWeen
`supporter 12 and manifold 11 is in the range of 200—600
`mils, the substrate temperature is in the range of 200—400°
`C., and the chamber pressure is maintained at 1—6 torr. ARLs
`With varying refractive index, absorptive index, and thick
`ness can be deposited Within these process parameters for
`any exposure Wavelengths betWeen 190—900 nm; and the
`different optimum refractive index, absorptive index, and
`thickness for the different Wavelengths can be consistently
`achieved by varying the parameters and the rate at Which the
`SiH4, N2O, NH3, N2, and He gases are introduced into the
`chamber. Within these ranges, the preferred range for the
`spacing is 400—600 mils. For the substrate temperature, the
`preferred range is 300—400° C., and the preferred range for
`the chamber pressure is 4.5—5.5 torr.
`When supporter 12 and the Wafer are in processing
`position 14, they are surrounded by a baf?e plate 17 having
`a plurality of spaced holes 23 Which alloW gas to exhaust
`into an annular vacuum manifold 24. Deposition gases are
`supplied through lines 18, having control valves (not
`shoWn), into a gas mixing chamber 19 Where they are
`combined and supplied to manifold 11. Although He is a
`knoWn carrier gas, its use in the present process is for
`controlling the parameters of the process. As Will be
`described later, the amount of He used affects the optical and
`chemical properties of the ARL deposited. Furthermore, He
`helps achieve the desired chamber pressure Without altering
`the chemical composition of the ?lm, thereby ensuring
`process stability, Which in turn ensures the deposition of a
`uniform ?lm. Because the ARL is a thin ?lm, thickness
`control is important, and a loW deposition rate is necessary
`to achieve control over the desired thickness. The addition of
`He loWers the deposition rate, thus alloWing for thickness
`control, in addition to the control of the ?lm properties.
`During processing of a Wafer, gas inlet to manifold 11 is
`vented toWard, and uniformly distributed radially across, the
`surface of the substrate as indicated by arroWs 22 and 21
`representing gas ?oW. SiH4 and N20 are both introduced
`into chamber 19 at a rate of 5—300 sccm, With the SiH4 to
`N2O ratio betWeen about 0.5 and 3.0, but preferably about
`1.0. NH3, N2, and He may be added as explained beloW,
`depending on the values of refractive index, absorptive
`index, and thickness desired, and the process regimes. If a
`Wider range of refractive index, absorptive index, and thick
`ness values is desired, NH3, N2, and additional He Will be
`added to the process, and are introduced into the chamber at
`a rate of 0—300 sccm, 0—4000 sccm, and 5—5000 sccm,
`respectively. Within these ranges, the preferred range for
`introducing SiH4 into the chamber is 15—160 sccm; for N20,
`
`MICRON Ex.1006 p.7
`
`

`

`5,968,324
`
`5
`the preferred range is 15—160 seem; for NH3, the preferred
`range is 0—300 seem; for N2, the preferred range is 0—500
`seem; and for He, the preferred range is 500—4000 seem.
`After the reactions are complete, the remaining gases are
`exhausted via ports 23 into the circular vacuum manifold 24
`and out through an exhaust line (not shown). The optimal
`values for the gases are SiH4 40—120 seem, N20 30—120
`seem, He 1500—2500 seem, N2 0—300 seem, and NH3 0—150
`seem. The ratio of the selected ?oW rate of He to the
`combined ?oW rate of SiH4 and N20 is at least 6.25:1. These
`are representative values for an eight-ineh chamber as made
`by Applied Materials. Other siZes of ehambers or ehambers
`made by other manufacturers Will have different values.
`A controlled plasma of SiH4 and N20 is formed adjacent
`to the substrate by RF energy applied to manifold 11 from
`RF poWer supply 25. Gas distribution manifold 11 is also an
`RF eleetrode, While supporter 12 is grounded. The RF poWer
`supply 25 supplies poWer ranging from 50—500 Watts, to
`manifold 11 to sloW doWn or enhance the decomposition of
`the SiH4 and N20 introduced into chamber 15.
`Aeireular external lamp module 26 provides a eollimated
`annular pattern of light 27 through quartZ WindoW 28 onto
`supporter 12. Such heat distribution compensates for the
`natural heat loss pattern of the supporter and provides rapid
`and uniform supporter and substrate heating for effecting
`deposition. A motor, not shoWn, raises and loWers supporter
`12 betWeen a processing position 14 and a loWer, substrate
`loading position.
`The motor, control valves connected to lines 18, and RF
`poWer supply 25 are controlled by a processor 34 over
`control lines 36, of Which only some are shoWn. Using these
`control lines, the processor controls the entire process of
`depositing the ARL. Processor 34 operates under the control
`of a computer program stored in a memory 38. The computer
`program dictates the timing, mixture of gases, chamber
`pressure, chamber temperature, RF poWer levels, supporter
`position, and other parameters of the process. Typically, the
`memory eontains computer readable information for causing
`the processor to introduce a ?rst process gas eomprising
`SiH4 and N20 into the chamber, and a second process gas
`eomprising He into the chamber.
`The above description is mainly for illustrative purposes
`and should not be considered as limiting the scope of the
`present invention. Variations of the above described system
`such as variations in supporter design, heater design, loca
`tion of RF poWer eonneetions, ete., are possible.
`Additionally, other plasma CVD equipment such as electron
`cyclotron resonance (ECR) plasma CVD equipment,
`induetion-eoupled RF high density plasma CVD equipment,
`or the like may be employed. The ARL and method for
`forming such a layer of the present invention is not limited
`to any speei?e apparatus or to any speei?e plasma exeitation
`method.
`Similarly, the use of helium for controlling the deposition
`rate of the process and for stabiliZing the process, is appli
`cable to thin ?lm depositions in general, and is not limited
`to ARL ?lm depositions. Speei?eally, it could be used to
`loWer the deposition rate of existing plasma-enhaneed silane
`oxide, silane oxynitride, and silane nitride proeesses.
`Although helium is used in the preferred embodiment, other
`inert gases may also be used instead of helium.
`FIG. 2 is a vertical, cross-sectional view of typical paths
`of re?eeted and refraeted light of an incident light beam that
`strikes the surface of a multi-layer semiconductor device
`during a photolithographie process. As shoWn in FIG. 2, for
`an incident light beam 1 that strikes the semiconductor
`
`15
`
`35
`
`45
`
`55
`
`65
`
`6
`structure, the photoresist pattern exposure could be distorted
`by a re?eetion 3 betWeen the photoresist layer and an
`underlying layer, and another re?eetion 6 betWeen the
`underlying layer and the substrate which results in light 5
`entering the photoresist.
`In FIG. 3, the dashed light paths illustrate the function of
`an ARL according to the present invention. As shoWn, light
`rays 3 and 5 (Which are almost equal in intensity and have
`a phase difference of 540° or larger) Will substantially cancel
`each other, While light rays 4 and 6 Will be absorbed by the
`ARL. Hence, the only light that exposes the photoresist is
`the incident light from ray 2. As previously mentioned, an
`ARL according to the present invention is compatible With
`the photoresist, thus eliminating the concern that the pho
`toresist may be neutraliZed. Furthermore, as discussed
`beloW, ARLs With different optimum refractive index,
`absorptive index, and thickness values can be achieved for
`cancellation of re?eetions of different exposure Wavelengths
`betWeen 190—900 nm.
`FIG. 4 is a chart shoWing the effects of the different
`process parameters on the process for depositing the anti
`re?eetive layer of the present invention. As described, the
`properties of the ARL can be changed by changing the
`different parameters. As shoWn by the chart, increasing the
`substrate temperature will increase the refractive index n,
`absorptive index k, thiekness t, and re?eetanee r values of
`the ARL deposited. Similarly, increasing the total gas ?oW
`into the chamber, or increasing the rate at which SiH4 is
`introduced into the chamber, Will also increase the refractive
`index n, absorptive index k, thiekness t, and re?eetanee r
`values of the ARL deposited.
`On the other hand, increasing the pressure of chamber 19,
`or the spacing betWeen supporter 12 and manifold 11, has
`the effect of decreasing the refractive index n, absorptive
`index k, thiekness t, and re?eetanee r values of the ARL
`deposited. Alternatively, increasing the poWer supplied to
`RF poWer supply 25 to generate more plasma has the effect
`of decreasing the refractive index n, absorptive index k, and
`re?eetanee r values while increasing the thickness of the
`ARL deposited. A similar effect can also be achieved by
`increasing the rate at which N20 or N2 is being introduced
`into chamber 19. The opposite effect of increasing the
`refractive index n, absorptive index k, and re?eetanee r
`values, while decreasing the thickness of the ARL deposited,
`can be achieved by increasing the rate at which He is
`introduced into chamber 19. Finally, the amount of NH3
`introduced into chamber 19 can be increased to increase the
`refractive index n and thickness t values, while decreasing
`the absorptive index k and re?eetanee r values.
`The following discussion of the ARL explains the calcu
`lations beloW. These calculations pertain to the deposition of
`SiON ?lms by plasma-enhaneed CVD teehniques, an
`example of Which is as described above. The values obtained
`from the calculations are for an exposure Wavelength of
`approximately 248 nm. At this Wavelength, an ARL depos
`ited in this process can have refractive index n ranging from
`1.7 to 2.4, and absorptive index k ranging from 0 to 1.3.
`An effective ARL minimiZes the variation of light avail
`able for PR absorption as the thickness of the PR varies. This
`requires the substantial cancellation of light re?eeted from
`the interface betWeen the PR and the ARL, i.e., the substan
`tial cancellation of light rays 3 and 5 as shoWn in FIG. 3.
`Substantial eaneellation can be achieved if the folloWing tWo
`requirements are met simultaneously for light ray 3 and light
`ray 5. The phase difference betWeen ray 3 and ray 5 is close
`to an odd multiples of 180°.
`
`MICRON Ex.1006 p.8
`
`

`

`5,968,324
`
`n3-2t=1/2 (mk)
`
`(1)
`
`The intensity of ray 3 and the intensity of ray 5 are almost
`identical.
`
`13=15
`
`(2)
`
`8
`absorptive index k for W—Si are assumed to be 1.96 and
`2.69, respectively. With tWo equations and three unknoWns,
`one can choose the value of refractive index n, absorptive
`index k, or thickness t and then calculate the remaining tWo
`unknoWns. Because the refractive index n value of SiON
`ARL ?lms optimiZed With the above deposition process is
`about 2.2 to 2.3 at 248 nm, the refractive index n value is
`chosen to stay Within this range throughout the calculation
`of Appendix A. Because absorptive index k values are
`tunable over a Wide range With the above process, values of
`absorptive index k are not restricted.
`The solutions provided in Appendix A are not exact
`optimiZed values for the ARL because of the simplicity of
`the model. For example, native oxides of Al, or W—Si, are
`simply neglected and their thicknesses are usually in the
`range of 10 to 20 angstroms. Also, the refractive index n and
`absorptive index k values of the ARL ?lm are assumed to be
`constant throughout the thickness of the ?lm. Thus, the
`solutions to Equations 1 and 2 only provide a guideline to
`the refractive index n, absorptive index k, and thickness of
`the desirable ARL ?lm. Exact values of refractive index n,
`absorptive index k, and thickness for a speci?c application
`are determined experimentally by optimiZing near the solu
`tion values from Equations 1 and 2.
`For an Al substrate With deep UV (248 nm)
`photolithography, at m=3 an appropriate refractive index n
`value is about 2.3, an appropriate absorptive index k value
`is about 0.3 and an appropriate thickness value is about 800
`angstroms. These solutions satisfy Equation 1 to Within 8° of
`a 540° phase difference. For Equation 2, the difference in
`intensity betWeen ray 3 and ray 5 is about 5 percent of
`incident intensity. For a W—Si substrate With deep UV
`photolithography, at m=3, an appropriate refractive index n
`is about 2.3, an absorptive index k is about 0.3 and a
`thickness about 800 angstroms. These solutions satisfy
`Equation 1 to Within 8° of a 540° phase difference. For
`Equation 2, the difference in intensity betWeen ray 3 and ray
`5 is less than 5 percent of incident intensity.
`For an Al substrate With deep UV photolithography, at
`m=5 an appropriate refractive index n value is about 2.3, an
`appropriate absorptive index k value is about 0.17 and an
`appropriate thickness value is about 1350 angstroms. These
`solutions satisfy Equation 1 to Within 8° of a 900° phase
`difference. For Equation 2, the difference in intensity
`betWeen ray 3 and ray 5 is about 5 percent of incident
`intensity. For a W—Si substrate With deep UV
`photolithography, at m=5, an appropriate refractive index n
`is about 2.3, an absorptive index k is about 0.18 and a
`thickness about 1350 angstroms. These solutions satisfy
`Equation 1 to Within 8° of a 900° phase difference. For
`Equation 2, the difference in intensity betWeen ray 3 and ray
`5 is less than 5 percent of incident intensity.
`For an Al substrate With deep UV photolithography, at
`m=7 an appropriate refractive index n value is about 2.3, an
`appropriate absorptive index k value is about 0. 13 and an
`appropriate thickness value is about 1900 angstroms. These
`solutions satisfy Equation 1 to Within 8° of a 1260° phase
`difference. For Equation 2, the difference in intensity
`betWeen ray 3 and ray 5 is about 5 percent of incident
`intensity. For a W—Si substrate With deep UV
`photolithography, at m=7 an appropriate refractive index n is
`about 2.3, an absorptive index k is about 0.13 and a thickness
`about 1900 angstroms. These solutions satisfy Equation 1 to
`Within 8° of a 1260° phase difference. For Equation 2, the
`difference in intensity betWeen ray 3 and ray 5 is about 5
`percent of incident intensity.
`For an Al substrate With deep UV photolithography, at
`m=9 an appropriate refractive index n value is about 2.3, an
`
`MICRON Ex.1006 p.9
`
`10
`
`15
`
`The ?rst of the above requirements is described by the
`destructive interference equation, Which is represented by
`Equation 1. The second equation describes the condition for
`matching the intensities of ray 3 and ray 5.
`For a given substrate and photoresist, conditions 1 and 2,
`as represented by Equations 1 and 2, can be satis?ed
`simultaneously With appropriate choices of refractive index
`n, absorptive index k, and thickness t of the ARL ?lm.
`Solutions for m=3 (540° phase differenc

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket