throbber
Case 2:20-cv-00048-JRG Document 1-6 Filed 02/21/20 Page 1 of 12 PageID #: 169
`Case 2:20-cv-00048—JRG Document 1—6 Filed 02/21/20 Page 1 of 12 PageID #: 169
`
`
`
`
`
`
`
`EXHIBIT F
`EXHIBIT F
`
`

`

`Case 2:20-cv-00048-JRG Document 1-6 Filed 02/21/20 Page 2 of 12 PageID #: 170
`
`(12) United States Patent
`Gibson, Jr. et al.
`
`USOO687.9046B2
`(10) Patent No.:
`US 6,879,046 B2
`(45) Date of Patent:
`Apr. 12, 2005
`
`(*) Notice:
`
`GB
`GB
`GB
`JP
`
`7
`(51) Int. Cl." ......................... H01L23/48; H01L 23/52;
`HO1L 59/40
`
`(52) U.S. Cl. ....................... 257/760; 257/750; 257/751;
`257/752; 257/758; 438/760; 174/258; 174/256;
`428/428; 428/446; 428/447; 428/448
`
`(56)
`
`(58) Field of Search ................................. 257/750-760,
`257/762; 438/760; 174/258, 256; 428/428,
`446, 447, 448, 209, 210,901
`References Cited
`U.S. PATENT DOCUMENTS
`5,456,762 A * 10/1995 Kariya et al. ............... 136/258
`5,494,859 A 2/1996 Kapoor
`6,037,664 A 3/2000 Zhao et al.
`6,037,668 A
`3/2000 Cave et al. ................. 257/784
`
`(54) SPLIT BARRIER LAYER INCLUDING
`6,071,809 A
`6/2000 Zhao .......................... 438/634
`NITROGEN-CONTAINING PORTION AND
`6,083,822 A
`7/2000 Lee.........
`... 438/624
`OXYGEN-CONTAINING PORTION
`2.
`A E R. O. E.
`OOl el all. . . . . . . . . . . . . . . . .
`2-a-s/ - 2
`6,191,028 B1
`2/2001 Huang et al.
`(75) Inventors: Gerald W Gibson, Jr., Orlando, FL
`(US); Scott Jessen, Orlando, FL (US);
`6,265,321 B1
`7/2001 Chooi et al. ................ 438/725
`Steven Alan Lytle, Orlando, FL (US);
`(Continued)
`Kurt George Steiner, Orlando, FL
`(US); Susan Clay Vitkavage, Orlando,
`FOREIGN PATENT DOCUMENTS
`FL (US)
`2341484. A 9/1998 ......... HO1 L/21/285
`2365215 A 2/2002 ........... HO1 L/21/02
`(73) Assignee: Agere Systems Inc., Allentown, PA
`2367426 A
`4/2002 ......... HO1 L/23/532
`(US)
`2001085523 A * 3/2001
`Subject to any disclaimer, the term of this
`OTHER PUBLICATIONS
`past is Sh used " U.S. Appl. No. 10,038,352, filed Jan. 2, 2002.
`Primary Examiner-George Eckert
`(21) Appl. No.: 10/038,371
`ASSistant Examiner-Chris C. Chu
`(22) Filed:
`Jan. 2, 2002
`(57)
`ABSTRACT
`(65)
`Prior Publication Data
`A Split barrier layer enables copper interconnect wires to be
`US 2003/0003765 A1 Jan. 2, 2003
`it." y dielectric is S. R.S.
`Ing line dIIIuSIon OI N-1 base groups into pnotores.ISIS
`Related U.S. Application Data
`where they can render the photoresist insoluble. The split
`(60) Provisional application No. 60/301.295, filed on Jun. 28,
`barrier layer is disposed between the copper and the low-k
`2001.
`dielectric and includes a nitrogen-containing, oxygen-free
`film which contacts the copper, and an oxygen-containing,
`nitrogen-free film which contacts the low-k dielectric film.
`The nitrogen-containing film prevents the formation of
`undesirable copper oxides, and the oxygen-containing film
`prevents the diffusion of N-H base groups into the low-k
`dielectric films. The oxygen-containing film may be an
`oxygen-doped Silicon carbide film in an exemplary embodi
`ment. In another embodiment, a film Stack of low-k dielec
`tric films includes an etch-Stop layer and hardmask each
`formed of oxygen-doped Silicon carbide. The hardmask and
`etch-Stop layer enable the formation of a dual-damascene
`opening in the film Stack, and the film Structure of the
`present invention precludes N-H base groups from diffus
`ing from the low-k dielectric films and neutralizing acid
`catalysts in the photoresist used to define the dual dama
`Scene opening.
`
`13 Claims, 4 Drawing Sheets
`
`
`
`4
`A -/-
`E222222
`
`13
`
`5 65 3
`
`1 1
`
`

`

`Case 2:20-cv-00048-JRG Document 1-6 Filed 02/21/20 Page 3 of 12 PageID #: 171
`
`US 6,879,046 B2
`Page 2
`
`U.S. PATENT DOCUMENTS
`
`6,297,162 B1 * 10/2001 Jang et al. .................. 438/706
`6,323,121 B1 11/2001 Liu et al.
`6,340,435 B1 * 1/2002 Bjorkman et al. ............ 216/72
`
`7/2002 Kudo
`6,420.261 B2
`9/2003 Passemard .................. 438/584
`6,624,053 B2
`6,632,478 B2 * 10/2003 Gaillard et al. ........ 427/255.37
`* cited by examiner
`
`

`

`Case 2:20-cv-00048-JRG Document 1-6 Filed 02/21/20 Page 4 of 12 PageID #: 172
`
`U.S. Patent
`
`Apr. 12, 2005
`
`Sheet 1 of 4
`
`US 6,879,046 B2
`
`2 - 2
`
`13
`
`1 1
`
`9
`3 1
`
`
`
`) \ \ \ \ 1z\ \
`
`(
`
`I
`W222222222222227
`2 22
`2
`
`-2
`
`

`

`Case 2:20-cv-00048-JRG Document 1-6 Filed 02/21/20 Page 5 of 12 PageID #: 173
`
`U.S. Patent
`
`Apr. 12, 2005
`
`Sheet 2 of 4
`
`US 6,879,046 B2
`
`FIG. 3
`
`35 25 J3 5 15 13 11 23 J
`
`-----H
`
`S/ 27 | |
`
`| | | |
`
`\
`
`
`
`
`
`
`
`FIG. 4
`23 2547 43 33 35
`
`
`
`N(NS
`
`S
`
`13
`
`5 15 49 47 3.
`
`1 1
`
`

`

`Case 2:20-cv-00048-JRG Document 1-6 Filed 02/21/20 Page 6 of 12 PageID #: 174
`
`U.S. Patent
`
`Apr. 12, 2005
`
`Sheet 3 of 4
`
`US 6,879,046 B2
`
`FIG.6
`
`
`
`13
`
`15
`
`5 J
`
`1 1
`
`FIC. 6
`- PRIOR ART
`ZZZZZZZZ
`ZZZZZZZZZY
`141
`135
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`151 Ns
`
`N
`
`125 N, 127
`
`F
`1 1 7
`
`123
`
`
`
`1 O5
`
`1 O3 1 1 1
`
`

`

`Case 2:20-cv-00048-JRG Document 1-6 Filed 02/21/20 Page 7 of 12 PageID #: 175
`
`U.S. Patent
`
`Apr. 12, 2005
`
`Sheet 4 of 4
`
`US 6,879,046 B2
`
`
`
`

`

`Case 2:20-cv-00048-JRG Document 1-6 Filed 02/21/20 Page 8 of 12 PageID #: 176
`
`US 6,879,046 B2
`
`1
`SPLT BARRIER LAYER INCLUDING
`NITROGEN-CONTAINING PORTION AND
`OXYGEN-CONTAINING PORTION
`
`RELATED APPLICATION
`This application claims priority of U.S. provisional appli
`cation Ser. No. 60/301.295, entitled Full Via First Integra
`tion Method of Manufacture, and filed on Jun. 28, 2001, the
`contents of which are herein incorporated by reference.
`
`FIELD OF THE INVENTION
`The present invention relates most generally to Semicon
`ductor devices and methods for manufacturing the same.
`More particularly, the present invention provides a method
`and structure for preventing base groups from becoming
`nested in a low-k dielectric material and Subsequently ren
`dering photoresists insoluble.
`
`15
`
`BACKGROUND OF THE INVENTION
`Deep ultra-violet (DUV) lithography is widely used in the
`fabrication of advanced VLSI (Very Large Scale Integration)
`semiconductor devices. Chemically amplified DUV photo
`resists improve the performance of the lithography Systems
`and improve device feature resolution. Low dielectric con
`Stant (low-k) dielectrics are favored in today's Semiconduc
`tor manufacturing industry because of the performance
`improvements they provide by way of reducing parasitic
`capacitance, reducing propagation delay and therefore
`increasing device Speed. The use of copper interconnect
`features is also favored to reduce line resistance of the
`interconnect lines. Typical copper interconnect Schemes
`incorporate damascene manufacturing techniques to define
`the interconnect paths. A dual damascene approach is
`favored because it provides lower cost processing, improved
`level-to-level alignment tolerance and thus allows for tighter
`design rules and improved performance.
`A Shortcoming associated with the use of low-k dielectrics
`in conjunction with copper interconnect lines and chemi
`cally amplified photoresists used in DUV lithography, is that
`base groups which become nested in porous low-k dielectric
`materials, can interact with the acid catalysts included in
`chemically amplified photoresists to render the exposed
`photoresist insoluble in developer. This insoluble photoresist
`distorts the pattern being formed and is difficult to remove.
`The distorted pattern may result in electrical opens because
`via and contact openings cannot be formed. Base groups
`Such as amines and other N-H base groups, are typically
`produced in association with conventional hardmask films,
`etch-Stop layerS and barrier films used in the film Stack that
`also includes low-k dielectric films, and which is advanta
`geously used in dual damascene processing. Etch-Stop films
`and barrier films are commonly nitrogen-containing films,
`and amine or other N-H base groups may be produced
`during the formation of Such films.
`The use of copper as an interconnect material requires the
`use of a barrier layer which typically includes nitrogen and
`is free of oxygen. The presence of oxygen in an adjoining
`film or during the formation of an adjacent film, undesirably
`causes the formation of copper oxides by reaction with
`copper. Copper oxides undesirably degrade adhesion which
`could lead to mechanical failure. Moreover, after copper
`interconnect lines are formed using damascene technology,
`for example, organic corrosion inhibitors are typically
`formed over the copper Surface. The organic corrosion
`inhibitors prevent the formation of copper oxides and pre
`
`25
`
`35
`
`40
`
`45
`
`50
`
`55
`
`60
`
`65
`
`2
`vent corrosion from occurring while the Substrate including
`the exposed copper film, is transferred from a polishing tool,
`for example, to a film deposition tool used to form films over
`the copper Surface. A plasma chemistry including ammonia,
`NH, is typically used to clean or treat the copper Surface,
`remove any copper oxides which may form, and to remove
`the organic corrosion inhibitor. This ammonia-containing
`chemistry also produces amines or other N-H base groups
`which can diffuse into the porous low-k dielectric material
`and then into the photoresists.
`It is therefore desirable to enjoy the benefits provided by
`copper interconnect lines, low-k dielectric films and chemi
`cally amplified photoresists in DUV lithography Systems,
`without degrading the chemically amplified photoresist by
`interaction with base groups from the porous low-k dielec
`tric film.
`
`SUMMARY OF THE INVENTION
`The present invention provides a method and structure for
`isolating a copper Surface and a nitrogen-containing barrier
`layer film, from a low-k dielectric material. An oxygen
`containing, Substantially nitrogen-free film is formed
`between the nitrogen-containing barrier layer film and the
`low-k dielectric material. The nitrogen-containing, Substan
`tially oxygen-free film and oxygen-containing, Substantially
`nitrogen-free film combine to form a composite barrier layer.
`In another exemplary embodiment, the composite barrier
`layer is used to isolate a conductive material which is readily
`oxidizable and Subject to corrosion, from a low-k dielectric
`material.
`According to another exemplary embodiment, the present
`invention provides a film Stack including low-k dielectric
`films, a hardmask film formed over the low-k dielectric
`films, and an etch-Stop layer formed between low-k dielec
`tric films. Each of the hardmask film and the etch-stop layer
`are formed of oxygen-doped Silicon carbide, SiC-O. A
`dual-damascene opening may be formed in the film Stack to
`include a wider upper portion that extends through the
`hardmask and upper low-k dielectric layer, and a narrower
`lower portion extending through the lower low-k dielectric
`layer.
`According to another exemplary embodiment, the film
`Stack may be formed over an oxygen-doped, Substantially
`nitrogen-free barrier layer formed over a nitrogen containing
`barrier layer formed, in turn, over a copper-containing
`Surface.
`According to another exemplary embodiment, the present
`invention provides a proceSS for forming a Semiconductor
`product. The process includes treating a Surface with an
`ammonia-containing chemistry, forming a first barrier layer
`over the Surface and a Second barrier layer over the first
`barrier layer, and forming a low-k dielectric film over the
`Second barrier layer. The first barrier layer includes nitrogen
`and is Substantially free of oxygen, and the Second barrier
`layer includes oxygen and is Substantially free of nitrogen.
`According to another exemplary embodiment, the present
`invention provides a further process for forming a Semicon
`ductor product. The proceSS includes providing a copper
`Surface, forming a first barrier layer over the copper Surface,
`forming a Second barrier layer of oxygen-doped Silicon
`carbide over the first barrier layer, and forming a porous
`low-k dielectric film over the second barrier layer. The first
`barrier layer includes nitrogen and is Substantially free of
`OXygen.
`BRIEF DESCRIPTION OF THE DRAWING
`The invention is best understood from the following
`detailed description when read in conjunction with the
`
`

`

`Case 2:20-cv-00048-JRG Document 1-6 Filed 02/21/20 Page 9 of 12 PageID #: 177
`
`US 6,879,046 B2
`
`3
`accompanying drawing. It is emphasized that, according to
`common practice, the various features of the drawing are not
`to scale. On the contrary, the dimensions of the various
`features are arbitrarily expanded or reduced for clarity. Like
`numerals denote like features throughout the Specification
`and drawing. Included in the drawing are the following
`figures:
`FIG. 1 is a cross-sectional view showing exemplary
`copper interconnect wires formed using damascene tech
`niques;
`FIG. 2 is a cross-sectional view showing an exemplary
`composite barrier layer structure formed over a conductive
`material, and a low-k dielectric film formed over the com
`posite barrier layer;
`15
`FIG. 3 is a cross-sectional view showing an exemplary
`etch-stop layer, upper low-k dielectric film and hardmask
`formed over the structure shown in FIG. 2;
`FIG. 4 is a cross-sectional view showing an opening
`formed in the structure shown in FIG.3 and a photosensitive
`film formed over the structure and within the opening;
`FIG. 5 shows the structure shown in FIG. 4 after portions
`of the photosensitive material have been exposed;
`FIG. 6 is a cross-sectional view showing insoluble pho
`toresist in a via opening according to the PRIOR ART, and
`FIG. 7 is a cross-sectional view showing a dual
`damascene opening formed in the structure shown in FIG. 5.
`DETAILED DESCRIPTION OF THE
`INVENTION
`The present invention provides a split barrier layer includ
`ing a layer of a nitrogen-containing material Such as
`nitrogen-doped silicon carbide or silicon nitride, and a layer
`of an oxygen-containing film. The split barrier layer is
`advantageously formed between a conductive film, and a
`low-k dielectric material. The conductive film may be
`readily oxidizable and Susceptible to corrosion. In one
`exemplary embodiment, the split barrier layer is formed
`between a copper-containing Surface and a low-k dielectric
`film. The layer which includes nitrogen is Substantially free
`of oxygen and forms a boundary with the conductive film
`such as copper and prevents copper oxidation in an exem
`plary embodiment. The oxygen-containing film is Substan
`tially free of nitrogen and is preferably formed of oxygen
`doped silicon carbide in an exemplary embodiment. It forms
`a boundary with the low-k dielectric material and prevents
`amines and other N-H base groups such as amino-silanes,
`from diffusing into the low-k dielectric material. The amine
`or other N-H base groups may be contained within the
`nitrogen-containing portion of the split barrier layer. The
`amine or other N-H base materials may additionally or
`alternatively be produced during the formation process
`which is used to form the nitrogen-containing barrier layer
`film. Typical deposition chemistries used to form the
`55
`nitrogen-containing film include or produce ammonia, NH,
`which readily diffuses into and throughout low-k dielectric
`material. Ammonia, various amines and other N-H base
`groups may be used in the film formation chemistry and/or
`may be by-products and may diffuse into and throughout the
`porous low-k dielectric film if not suppressed by the pres
`ence of the oxygen-containing film of the split barrier layer.
`A film stack of an exemplary embodiment of the present
`invention includes a low-k dielectric film or films formed
`over the split barrier layer, and the film Stack may further
`include at least one etch-stop layer and hardmask film, each
`preferably formed of oxygen-doped silicon carbide, SiC
`
`50
`
`4
`O. These SiC-O films are formed using a process that
`preferably does not utilize or generate chemistries which
`include N-H base groups. Other oxygen-containing films
`which are substantially free of nitrogen and do not generate
`amines or other N-H base groups during their formation
`may be used in other exemplary embodiments. An advan
`tage of the present invention is the hardmask film, etch-Stop
`layer, and oxygen-containing portion of the split barrier
`layer film which preclude amine or other N-H base groups
`from diffusing into the low-k dielectric materials and then
`diffusing into the photoresist, rendering the photoresist
`insoluble. According to the embodiment in which cooper is
`used as the conductive interconnect material, the present
`invention also retains the advantageous aspect of performing
`an ammonia plasma copper oxide reduction operation and
`organic clean on the copper Surface, without having ammo
`nia or other basic by-products of the ammonia plasma
`chemistry becoming nested within the low-k dielectric films.
`FIG. 1 is a cross-sectional view showing an exemplary
`sub-structure of the present invention. Conductive lines 5
`are formed with an insulating material 7. Conductive lines 5
`may be formed of copper in the exemplary embodiment, but
`other suitable conductive materials may be used according
`to other exemplary embodiments. Insulating material 7 may
`be a low-k dielectric or other dielectric or insulating mate
`rial. In the exemplary embodiment, conductive lines 5 and
`insulating material 7 include a common, planar upper Sur
`face including upper surface 3 of conductive line 5. The
`structure may be formed using damascene techniques and
`using chemical mechanical polishing.
`Low-k dielectric films are characterized by a dielectric
`constant being less than the dielectric constant of Silicon
`dioxide, which is typically about 3.9–4.1. In an exemplary
`embodiment, the low-k dielectric may have a dielectric
`constant that is less than 3.5. Low-k dielectric materials are
`favored because dielectric constant is directly proportional
`to capacitance and propagation delay, and therefore
`inversely proportional to circuit speed. Methods for reduc
`ing the dielectric constant of a Silicon dioxide film include
`doping with fluorine, doping with carbon, and incorporating
`porosity, since vacuum has a dielectric constant of about 1.0.
`As such, a porous low-k dielectric material is favored. In an
`exemplary embodiment, the low-k dielectric film may be an
`organo-silicate-glass (OSG). According to another exem
`plary embodiment, the low-k dielectric material may be a
`porous low-k dielectric such as SiOC-H, such as deposited
`from tetra-methyl-cyclo-tetra-siloxane, oxygen, and carbon
`dioxide using a PECVD process. According to another
`exemplary embodiment, the low-k dielectric may be a
`spin-on aromatic carbon with porogen, that is Subsequently
`baked to create pores. According to other exemplary
`embodiments, commercially-available OSG materials Such
`as Black Diamond (Applied Materials Corporation), Coral
`(Novellus), FlowFill (Trikon), and Eagel2 (ASM) may be
`used. Such low-k dielectric materials are intended to be
`exemplary only, and other low-k dielectric materials may be
`used according to other exemplary embodiments. The low-k
`dielectric material may be formed using PECVD (plasma
`enhanced chemical vapor deposition) or spin-on techniques,
`but other methods of formation may be used in other
`exemplary embodiments.
`Returning to FIG. 1, after the exemplary structure shown
`in FIG. 1 has been formed, such as by chemical mechanical
`polishing, and includes copper as conductive wires 5 in the
`exemplary embodiment, upper Surface 3 may be coated with
`an organic corrosion inhibitor to inhibit corrosion which
`may otherwise result when substrate 1 is removed from the
`
`25
`
`35
`
`40
`
`45
`
`60
`
`65
`
`

`

`Case 2:20-cv-00048-JRG Document 1-6 Filed 02/21/20 Page 10 of 12 PageID #: 178
`
`US 6,879,046 B2
`
`S
`polishing or CMP (chemical mechanical polishing) system.
`According to other exemplary embodiments, other conduc
`tive material Such as metals which may be readily oxidizable
`and/or Susceptible to corrosion, may be used and coated with
`a corrosion inhibitor. The coated, upper Surface 3 is then
`treated using an ammonia plasma. The ammonia plasma is
`used to clean Surface 3 and remove the organic corrosion
`inhibitor and any other organic residuals, as well as any
`oxides Such as copper oxide, which may have formed. After
`upper surface 3 is so treated, the substructure shown in FIG.
`1 is ready to have a film stack formed thereover.
`The film stack that is to be formed over the Substructure
`includes at least one low-k dielectric film and additional
`films Such as etch-Stop layers, barrier layers, and a hardmask
`which aid in the formation of a dual-damascene opening
`formed within the film Structure. The dual-damascene open
`ing may be used to provide contact to a Subjacent conductive
`wire or wires, Such as conductive wire 5.
`FIG. 2 shows exemplary composite barrier layer 9 and
`low-k dielectric film 17 formed over upper surface 3. In the
`exemplary embodiment shown in FIG. 2, upper Surface 3 is
`the upper surface of a conductive wire 5 which may be
`copper in an exemplary embodiment. Other Suitable con
`ductive materials may be used alternatively. Lower barrier
`layer 11 includes top Surface 12 and may be Silicon nitride
`25
`or nitrogen-doped Silicon carbide, according to the exem
`plary embodiments. According to one exemplary
`embodiment, lower barrier layer 11 may be nitrogen-doped
`silicon carbide formed using a PECVD process which
`includes tetra-methyl-Silane, Silane, ammonia, and nitrogen
`in the plasma chemistry. According to another exemplary
`embodiment in which barrier layer 11 is a silicon nitride
`film, a PECVD process utilizing silane, ammonia and N
`may be used. Other techniques for forming lower barrier
`layer film 11 may be used alternatively. A fundamental
`concept of the present invention is that lower barrier layer 11
`is a nitrogen-containing film and is Substantially free of
`oxygen. This Suppresses the undesirable oxidation of the
`conductive material that forms conductive wire 5. Upper
`barrier layer film 13 includes top surface 15 and is advan
`tageously formed of oxygen-doped Silicon carbide, but
`Silicon dioxide or other oxygen-containing films that are
`substantially free of nitrogen and which preferably do not
`generate amines or other N-H base groups in their forma
`tion processes, may be used in other exemplary embodi
`ments. A PECVD process which includes tetra-methyl
`Silane and carbon dioxide in the plasma chemistry may be
`used to form oxygen-doped Silicon carbide upper barrier
`layer film 13, in an exemplary embodiment. Generally
`Speaking, upper barrier layer film 13 is an oxygen
`containing, Substantially nitrogen-free film.
`Lower low-k dielectric film 17 includes top surface 19
`and is as described above. Thickness 21 of lower low-k
`dielectric film 17 will vary according to application and may
`range from 1000 to 10000 angstroms in various exemplary
`55
`embodiments. Other thicknesses may be used in other exem
`plary embodiments. In an exemplary embodiment, lower
`low-k dielectric film 17 may be a SiOC -H film deposited
`using a PECVD process and using tetra-methyl-cyclo-tetra
`Siloxane, oxygen, and carbon dioxide in the plasma chem
`istry.
`Now turning to FIG. 3, etch-stop layer 23 is formed over
`top surface 19 of lower low-k dielectric film 17. Etch-stop
`layer 23 may be formed of oxygen-doped Silicon carbide or
`other oxygen-containing, nitrogen-free films which prefer
`ably do not generate amines or other N-H base groups in
`their formation processes. Upper low-k dielectric film 27 is
`
`35
`
`6
`formed over top surface 25 of etch-stop film 23. Upper
`low-k dielectric film 27 includes thickness 31 which may
`range from 1000 to 10000 angstroms depending on appli
`cation and other thicknesses may be used according to other
`exemplary embodiments. Hardmask film 33 is formed over
`upper low-k dielectric film 27. In an exemplary
`embodiment, hardmask film 33 may be formed of oxygen
`doped silicon carbide, SiC-O, but other oxygen
`containing, Substantially nitrogen-free films which prefer
`ably do not generate amines or other N-H base groups in
`their formation processes, may be used alternatively. An
`advantage of the film stack structure shown in FIG. 3 is that
`any amines, amino-Silanes and other N-H base groups
`which may be produced during the formation of lower
`barrier layer 11 and/or the treatment of upper Surface 3, are
`prevented by upper barrier layer film 13 from diffusing into
`the low-k dielectric films. Additionally, Since each of etch
`stop layer 23 and hardmask film 33 are formed of SiC O,
`or other oxygen-containing, nitrogen-free films, no amine or
`other N-H base groups are produced during the formation
`of films 23 and 33 to become nested in the low-k dielectric
`films.
`FIG. 4 shows opening 43 extending down from top
`surface 35 of hardmask film 33 and extending through
`hardmask film 33, upper low-k dielectric film 27, etch-stop
`layer 23 and lower low-k dielectric film 17. Opening 43
`terminates on top surface 15 of upper barrier layer film 13.
`Opening 43 may take on various other configurations
`according to other exemplary embodiments. Opening 43
`may extend to various widths and various different depths
`according to other exemplary embodiments. In other
`embodiments, opening 43 may not fully extend down to top
`surface 15 of upper barrier layer film 13. Opening 43
`includes width 49, and sidewalls 47 are formed between
`low-k dielectric materials and opening 43. Various Suitable
`means, Such as plasma etching, may be used to form opening
`43, after a masking pattern has been formed over the film
`Stack.
`Subsequent to the formation of opening 43, a dual
`damascene opening will desirably be formed utilizing open
`ing 43 as a portion of the dual-damascene, or two-tiered
`opening. AS Such, photosensitive film 45 is formed over the
`Structure using conventional methods Such as by coating.
`Photosensitive film 45 is formed over top surface 35 and fills
`opening 43. Photosensitive film 45 may be a commercially
`available photoresist such as DUV (deep ultra-violet) pho
`toresist. In an exemplary embodiment, photosensitive film
`45 may be a chemically-amplified DUV photoresist that
`includes acid catalysts which render the photoresist material
`soluble in developer when exposed to ultraviolet light. Other
`photosensitive materials may be used alternatively. Upper
`barrier layer film 13 prevents photosensitive film 45 from
`contacting lower barrier layer film 11. After photosensitive
`film 45 is formed as shown in FIG. 4, a pattern may be
`formed within photosensitive film 45 to create the dual
`damascene Structure.
`FIG. 5 shows the structure shown in FIG. 4 after an
`exemplary pattern has been formed using photomask 53.
`Photomask 53 includes transmissive section 57 and opaque
`Sections 55, chosen to be transmissive and opaque,
`respectively, to the light used to expose photoSensitive film
`45. The light which is used for exposure is chosen in
`conjunction with photosensitive film 45. In an exemplary
`embodiment, ultraViolet light may be used in conjunction
`with a DUV photoresist. When portions of photosensitive
`film 45 are exposed by a DUV light source through the
`transmissive portions of the pattern formed in photomask
`
`15
`
`40
`
`45
`
`50
`
`60
`
`65
`
`

`

`Case 2:20-cv-00048-JRG Document 1-6 Filed 02/21/20 Page 11 of 12 PageID #: 179
`
`US 6,879,046 B2
`
`7
`53, these Selective, exposed portions of photoSensitive film
`45 become soluble in developer. When a developer Subse
`quently contacts photoSensitive film 45, the Sections which
`have been exposed and are Soluble in developer, are devel
`oped away, and a pattern is formed in photoSensitive film 45.
`A Substantially identical pattern can then be formed in the
`underlying Structure, Such as in the exemplary film Stack,
`using etching or other techniques. FIG. 5 shows exposed
`section 51 of photosensitive film 45. Exposed section 51
`includes width 59 and is soluble in developer. Due to upper
`barrier layer film 13, etch-stop layer 23, and hardmask film
`33 of the present invention, low-k dielectric films 17 and 27
`do not include base groupS. Such as N-H base groups or
`other nitrogen-containing base groupS. Such as amines or
`amino-Silicates. If present, Such base groups could pass
`through sidewalls 47, neutralize the acid catalysts within
`photosensitive film 45, and render insoluble portions of
`photosensitive film 45 which are desirably exposed and
`soluble in developer. Similarly, photosensitive film 45 is
`isolated from nitrogen-containing lower barrier layer film
`11, by upper barrier layer film 13 which prevents diffusion
`of base groups, as above, from lower barrier layer film 11
`and/or upper Surface 3, into photoSensitive film 45. Accord
`ing to the present invention, eXposed Section 51 of photo
`sensitive film 45 extends down to the bottom of opening 43
`(surface 15) and is substantially fully soluble in developer
`because the acid catalysts in photosensitive film 45 have not
`complexed with base groupS and have not been neutralized
`and rendered ineffective. The acid catalysts in exposed
`section 51 of photosensitive film 45 therefore render
`exposed Section 51 Soluble in developer after being exposed
`by ultraviolet light. After exposed section 51 has been
`developed and removed, an etching process may be used to
`form the exemplary dual-damascene Structure shown in FIG.
`7.
`In comparison, FIG. 6 is a cross-sectional view showing
`an exemplary structure as in the PRIOR ART. According to
`the prior art, a barrier layer Structure capable of preventing
`the diffusion of N-H base groups is not used, and at least
`one of optional barrier layer film 111, etch-stop layer 123,
`and hardmask film 127 may be formed to include nitrogen.
`Ammonia and other nitrogen-containing Species may be
`used to treat Surface 103 of conductive interconnect material
`105, and to form films 111, 123 and 127. AS Such, amines,
`amino-Silicates, and other N-H base groups may be pro
`duced during these Surface treatment and film production
`processes. In Some cases, barrier layer 111 may not be used
`and N-H or other base groups present on surface 103 may
`diffuse directly into low-k dielectric film 117. These amines,
`amino-Silicates, and other N-H base groups may addition
`ally or alternatively be included within formed films 111,
`123 and 127. These N-H base groups become nested in
`low-k dielectric films 117 and 125, by diffusion. From the
`low-k dielectric films, the N-H or other base groups diffuse
`through sidewall 137 and into photosensitive film 135 as
`indicated by arrows 151. Photosensitive film 135 includes
`exposed Section 141, but also includes neutralized Section
`167 in which N-H or other base groups have complexed
`with the acid catalysts to neutralize the acid catalysts and
`thereby render neutralized portion 167 insoluble. If the
`structure shown in the prior art illustration of FIG. 6 is
`exposed to developer, only exposed Section 141 will be
`developed away, and neutralized portion 167 will remain
`and prevent effective etching of the desired dual damascene
`Structure. If the desired dual damascene Structure is not
`properly formed and/or if the neutralized portion 167 of
`photoresist is not removed, opens may result between Sub
`
`15
`
`25
`
`35
`
`40
`
`45
`
`50
`
`55
`
`60
`
`65
`
`8
`jacent conductive interconnect material 105 and a conduc
`tive interconnect material desired to be introduced into the
`dual damascene Structure.
`FIG. 7 shows an exemplary dual-damascene or two-tiered
`opening formed after the Structure of the present invention
`shown in FIG. 5, has been exposed to developer, thereby
`forming a masking pattern in photosensitive film 45 (FIG.
`5), and Subsequently etched. Conventional etching tech
`niques Such as plasma etching may be used to form dual
`damascene opening 61. According to one exemplary
`embodiment, a Sequence of etch operations may be used to
`achieve the final, dual-damascene opening and may include
`an intermediate etching Step which terminates at etch-stop
`layer 23 and a Subsequent etching process Step to remove
`exposed portions of lower barrier layer film 11 and etch-Stop
`layer 23. Other etch proceSS Sequences may be used in other
`exemplary embodiments.
`Dual-damascene opening 61 extends down from top Sur
`face 35 of hardmask film 33 and through hardmask film 33,
`upper low-k dielectric film 27, etch-stop film 23, lower
`low-k dielectric film 17, upper barrier layer 13 and lower
`barrier layer film 11 and terminates on upper surface 3 of
`conductive line 5. Dual-damasce

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket